Veterans Jobshttp://northropgrumman-veterans.jobs2024-03-29 06:15:48RoyNorthrop GrummanUnited StatesUSA2024-03-29 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking **SDE Principal Scrum Master / Senior Principal Scrum Master - 12740.** This position will be located in **Roy, Utah** and will support the Sentinel Program.
Job Responsibilities:
• Foster a working environment which reinforces and drives accountability to fundamental Northrop Grumman Values focused on integrity, quality, shared success, and innovation.
• Lead the efforts of developing Scaled Agile engineering and management best practices, metrics, and audit practices.
• Coach, support, and motivate team members in agile methodologies to ensure a high level of performance.
• Facilitate daily stand up meetings and regular ceremonies.
• Manage Jira boards for Agile teams ensuring stories/tasks are updated and in proper status as required
• Facilitate Program Increment (PI) planning and support iteration execution
• Collect and analyze related metrics for trends and indicators, facilitate iteration/increment planning, provide Agile coaching and mentoring at all levels within the organization.
• Lead the iterative solution lifecycle from executable architecture to maintenance, including concept development, iterative design, production, delivery and deployment.
• Have an understanding of Scaled Agile Framework (SAFe).
• Regular interaction with customers and program executives.
**Position Benefits:**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package.
**Job Qualifications:**
**You'll Bring These Qualifications:**
+ **Principal Scrum Master:** 05 Years with Bachelor's degree; 03 Years with Masters; 00 Years with PhD; or 04 additional years of relevant experience in lieu of a degree.
+ **Sr. Principal Scrum Master:** 09 Years with Bachelor's degree; 07 Years with Masters; 04 Years with PhD; or 04 additional years of relevant experience in lieu of a degree.
+ Active DoD Secret clearance with a reinvestigation date occurring in the last 6 years.
+ US Citizenship, ability to obtain Special Program Access (SAP).
+ At least one year of execution in an Agile team/battle rhythm.
+ At least 1 year Scrum Master experience for a high-performing agile team.
+ At least 1 year experience with collaboration tools such as Confluence, Teams, and SharePoint.
+ At least 1 year experience with a work management tool, such as Jira.
+ Proven ability to help solve problems or conflicts within teams.
+ Proven ability to train and coach team members.
+ Ability to improve processes and drive cadence of the team's execution and demonstration events.
+ Proficiency with MS Office applications.
+ The ability to manage communications with stakeholders through organized processes to ensure that program information is defined, collected, shared, understood, stored and retrieved in a manner that effectively meets program stakeholder needs.
**These Qualifications Would be Nice to Have:**
+ At least 1 year experience using Scaled Agile Framework (SAFe)
+ At least one year experience with Jira and Jira dashboarding, plans, and Confluence integration features
\#GBSDsoftware
**Salary Range:** $92,600 - $139,000
**Salary Range 2:** $114,900 - $172,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10154835UtahUTSentinel SDE Principal Scrum Master / Senior Principal Scrum Master - 12740None13A1FD9235914147BAE898365397710Chttp://northropgrumman-veterans.jobs/13A1FD9235914147BAE898365397710C23ClearfieldNorthrop GrummanUnited StatesUSA2024-03-29 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman has an opening for a **level 4 Sr. Principal Proposal Analyst** to join our team of qualified, diverse individuals. This position is located **on-site** in Clearfield, Utah.
**Essential Functions:**
+ This role will lead other analysts in day-to-day direction, training, and general supervision of activities.
+ This role will provide essential support to the Aerospace Structures Business Unit (ASBU) and may also regularly contribute to large competitive captures or corporate priority programs as a key evaluator and developer of bids.
+ Candidates for this position will lead/support proposal activities of diverse and inclusive teams with high levels of engagement and collaboration with other team members
+ They will demonstrate a broad skill set that is balanced across interpersonal, business, and proposal-based capabilities; a commitment to ethics and integrity; and the capability to innovate in a challenging and dynamic environment
+ Develops, plans and schedules proposals
+ Compiles cost, schedule, and technical elements in the development of proposals in accordance with request for proposal (RFP) specifications
+ Coordinates the collection and accumulation of cost, scheduling, and performance elements from functional departments
+ Researches and analyzes historical data, develops cost models to support proposal efforts
+ Coordinates activities and participates in fact-finding meetings
+ Participates in should-cost and pricing reviews with management and customer representatives
+ Participates as a member of the contract negotiating team
**Basic Qualifications:**
+ Bachelor's degree and 8 years of experience, or Master's degree with 6 years in quantitative/analytical roles
+ Exceptional attention to detail, with a proven track record of ensuring accuracy and the highest standards of quality at all times.
+ Proven project management skills, and ability to independently and proactively multitask and manage competing priorities effectively.
+ Demonstrated analytical and problem-solving skills, with an ability to interpret and present complex data.
+ Excellent oral and written communication skills.
+ Ability to work with minimal supervision and apply sound judgment to problem-solving
+ Strong understanding of cost estimating principles, cost analysis, government proposal processes, and FAR/DFARS.
+ Understanding of Cost Improvement (Learning) Curves, Cost Estimating Relationships (CERs), and/or parametric modeling concepts.
+ Experience with developing and/or analyzing Basis of Estimates (BOEs).
+ Advanced Proficiency in MS Office (PowerPoint, Outlook, Word, Excel); Working knowledge of intermediate Excel concepts, including pivot tables, formulas, or other advanced features.
+ Proficiency with cost estimating tools (e.g., ProPricer).
+ Experience with SAP, Cost Point, and/or BOE Next.
+ Ability to obtain/maintain a DoD Secret Clearance and the ability to obtain program access clearance
+ Ability to work on-site daily in Clearfield, Utah
**Preferred Qualifications:**
+ Experience with Northrop Grumman's Aerospace Structures Business Unit (ASBU).
+ Working knowledge of advanced Excel concepts, including data modeling, power query, power pivot and/or VBA.
+ Active DoD Secret Clearance and the ability to obtain program access clearance
We offer flexible work arrangements, excellent learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees!
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our expansive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Salary Range:** $95,000 - $142,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10154783UtahUTLevel 4 Sr. Principal Proposal AnalystNone1A5A39A7B94C450CB435EBFC4CF2DCEAhttp://northropgrumman-veterans.jobs/1A5A39A7B94C450CB435EBFC4CF2DCEA23LinthicumNorthrop GrummanUnited StatesUSA2024-03-29 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**NG- Military Internship Program Description:**
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military Internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members **.**
**Responsibilities for this internship position are:**
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for SkillBridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
+ **Goals** - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
+ **Objectives** - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
+ **Outcome** - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
+ Has served at least 180 days on active duty
+ Is within 12 months of separation or retirement
+ Will receive an honorable discharge
+ Has taken any service TAPS/TGPS
+ Has attended or participated in an ethics brief within the last 12 months
+ Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
**Job Description** :
**Northrop Grumman Chief Information Office** is seeking a well-qualified **Windows Systems Administrator** to join our qualified, diverse, and dynamic team of technical professionals. This position is located in the **Linthicum, MD** area.
**Roles and responsibilities will include:**
+ Perform as a Windows Systems Administrator for a classified government contract.
+ Maintain smooth operation of multi-user computer systems, including coordination with network, software, and system engineers, PC desktop technicians, project managers, end users, and customer and IT management.
+ Provide backup and recovery services; manage file systems and disk space; manage virus protection on a routine basis.
+ Create and maintain user and computer accounts and modify file permissions and security access lists.
+ Test new releases of products to ensure compatibility and minimize user impact.
+ Develop and document technical processes and procedures as needed.
+ Interact, meet, discuss, and troubleshoot issues with vendors; evaluate vendor products, services, and suggestions.
+ Adhere to strict Information Systems security guidelines in all cases.
+ Maintain security audit and logging information on all classified networked and standalone computers as directed by the Information Systems Security Manager (ISSM).
+ Prepare security documentation for input to Computer Security.
+ Report project status as required for all recurring and non-recurring efforts.
+ Work under minimal direction and independently determine and develop approach to solutions.
+ The selected candidate may be required to obtain and maintain program access during their internship.
The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, and collaborative/team settings across all levels.
**Basic Qualifications:**
+ Associate's degree with 4 years of experience; OR Bachelor's degree with 2 years of experience; OR a High School diploma or equivalent and 6 years of experience may be considered in lieu of a completed degree.
+ Candidate must have a current, DOD Security Clearance (Secret, Top Secret, or Top Secret/SCI, polygraph), in order to be considered and the ability to maintain the required security clearance throughout the duration of the SkillBridge internship.
+ Must have experience supporting Windows OS.
**Preferred Qualifications:**
+ Depending on program and/or customer requirements, the selected candidate may be required to have a current Security+CE or similar DoD 8570 security certification.
+ Excellent communication, interpersonal skills and the ability to interface with all levels of employees and management.
+ Windows domain architecture experience.
ESCSO
ESMilIntern
ESWindowsAdmin
**Salary Range:** $75,700 - $113,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10154810MarylandMDNorthrop Grumman (DoD SkillBridge) - Windows Systems AdministratorNone8761B8915B7D444F883101C409294969http://northropgrumman-veterans.jobs/8761B8915B7D444F883101C40929496923Grand Forks AFBNorthrop GrummanUnited StatesUSA2024-03-29 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems, a division within Northrop Grumman's Space Systems Sector is known for pushing the boundaries of innovation, redefining engineering capabilities, and driving advances in various sciences through cutting-edge technology. Our team is chartered with providing the skills, and innovative technologies to develop, design, produce, and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
Northrop Grumman Space Systems is seeking a Systems Administration Manager to join our team supporting our Tranche1 program. This position would be based at the Grand Forks AFB in the Grand Forks, North Dakota area.
This role consists of 2 parts: approximately 20% functional management role and approximately 80% direct charge program role. The functional role includes all aspects of staff management, including recruiting, hiring, onboarding, training, coaching/mentoring, career development, performance management, compensation management, and separation of assigned employees.
Job responsibilities will include, but not be limited to, the following:
+ Strong leadership qualities, including excellent communication and collaboration, team engagement, and organizational skills.
+ Provide onsite support for Ground system rack HW maintenance at the designated Operation Center Site.
+ As necessary, remotely administer Ground system rack software and hardware.
+ Install, configure, & update systems/software, and automate tasks.
+ Manage user accounts, enforce access controls, and provide technical support.
+ Configure & manage network devices/services, monitor traffic & troubleshoot issues.
+ Implement & enforce security policies, respond to incidents & maintain system compliance.
+ Identifying/Diagnosing system issues and implementing solutions to technical problems.
+ Documenting procedures and troubleshooting steps.
+ Some on-call duty may be required.
**This position requires being onsite and does not support remote or hybrid work.**
**Basic Qualifications**
+ 5 Years of relevant experience with a completed Bachelor's degree; 3 Years of relevant experience with a completed Masters's degree; 0 Years of relevant experience with a completed PhD; An additional 4 years of relevant work experience may be considered in lieu of a completed degree (High School diploma or equivalent is required with additional experience)
+ **Must have an Active Top Secret DoD security clearance**
+ CompTIA Security+ certification.
+ Demonstrated expertise as a Linux Systems Administrator.
+ Demonstrated expertise with Red Hat Enterprise Linux (RHEL).
+ Experience in automating tasks utilizing scripting and/or orchestration tools. Specifically, proficiency in one or more of the following languages is preferred: PowerShell, Python, Bash, or YAML (executed/managed via Ansible)
+ Knowledge of version control using code repositories (Bitbucket/Nexus).
+ Familiarity with Virtual environment using VMware products (i.e., vCenter, ESXi, etc.).
+ Familiarity with Networking environment (i.e., routers, firewalls, VLANs, etc.).
+ Familiarity with NAS technologies such as NetApp.
**Preferred Qualifications**
+ Experience with troubleshooting complex RHEL, network, and COTS-related issues.
+ Experience with Ansible.
+ Experience managing a highly virtualized production environment.
+ Experience producing system architecture documentation.
+ Certifications (i.e., Red Hat, NetApp, VMware, etc.).
To better support our employee's work/life balance, most NG worksites support a 9/80 work schedule. The 9/80 schedule allows employees to work a nine-hour day Monday through Thursday and take every other Friday off. This schedule or other work-hour flexibility options can be determined upon hire.
With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on Earth every day, now and for the future. Explore your future and launch your career today. #definingpossible
**Salary Range:** $90,300 - $135,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Grand Forks Afb, NDR10154624North DakotaNDSystems Administration Manager 1 (*Active Top Secret required)None883CEBD2BCB14E05894822DB6283A39Bhttp://northropgrumman-veterans.jobs/883CEBD2BCB14E05894822DB6283A39B23ClearfieldNorthrop GrummanUnited StatesUSA2024-03-29 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman has an opening for a **level 3 Principal Proposal Analyst** to join our team of qualified, diverse individuals. This position is located **on-site** in Clearfield, Utah. Alternative **on-site** positions in Dayton, Ohio, and Iuka, Mississippi, will be considered for exceptional candidates.
**Essential Functions:**
+ This role will provide essential support to the Aerospace Structures Business Unit (ASBU) and may also regularly contribute to large competitive captures or corporate priority programs as a key evaluator and developer of bids.
+ Candidates for this position will lead/support proposal activities of diverse and inclusive teams with high levels of engagement and collaboration with other team members
+ They will demonstrate a broad skill set that is balanced across interpersonal, business, and proposal-based capabilities; a commitment to ethics and integrity; and the capability to innovate in a challenging and dynamic environment
+ Develops, plans and schedules proposals
+ Compiles cost, schedule, and technical elements in the development of proposals in accordance with request for proposal (RFP) specifications
+ Coordinates the collection and accumulation of cost, scheduling, and performance elements from functional departments
+ Researches and analyzes historical data, develops cost models to support proposal efforts
+ Coordinates activities and participates in fact-finding meetings
+ Participates in should-cost and pricing reviews with management and customer representatives
+ Participates as a member of the contract negotiating team
**Basic Qualifications:**
+ Bachelor's degree and 5 years of experience, or Master's degree with 3 years in quantitative/analytical roles
+ Strong attention to detail with an appreciation for accuracy and high standards of quality
+ Proven ability to proactively multitask and manage competing priorities effectively
+ Demonstrated analytical and problem-solving skills, with an ability to interpret and present complex data
+ A solid understanding of project management and/or cost estimation principles
+ Knowledge of industry-specific standards and practices related to proposal development (e.g. FAR, DFARs, etc.)
+ Experience with developing and/or analyzing Basis of Estimates (BOEs)
+ Effective oral and written communication skills
+ Strong Proficiency in MS Office (PowerPoint, Outlook, Word, Excel); Working knowledge of intermediate Excel concepts, including pivot tables, formulas, or other advanced features
+ Proficiency with cost estimating tools (e.g., ProPricer)
+ Ability to obtain/maintain a DoD Secret Clearance and the ability to obtain program access clearance
+ Ability to work on-site daily in Clearfield, Utah, Dayton, Ohio, or Iuka, Mississippi
**Preferred Qualifications:**
+ Experience with Northrop Grumman's Aerospace Structures Business Unit (ASBU)
+ Proven ability to independently complete projects with limited supervision
+ Working knowledge of Cost Improvement (Learning) Curves and/or Cost Estimating Relationships (CERs)
+ Demonstrated experience creating spreadsheets and performing data analysis to create meaningful insights for decision-making
+ Experience with SAP, Cost Point, and/or BOE Next
+ Active DoD Top Secret clearance with ability to obtain program access
We offer flexible work arrangements, excellent learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees!
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our expansive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Salary Range:** $76,600 - $115,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10154796UtahUTLevel 3 Principal Proposal AnalystNoneB71F015591D64FABB21EB2158B419353http://northropgrumman-veterans.jobs/B71F015591D64FABB21EB2158B41935323ClearfieldNorthrop GrummanUnited StatesUSA2024-03-29 06:15:47At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has openings for **Associate** **Production/Production Planner Level 1/2** to join our team of qualified, diverse individuals. The selected candidates will support manufacturing planning related to aircraft manufacturing (composite, metallic, and assembly). The shift is **Friday, Saturday & Sunday from 6:00am - 6:00pm** . This position is located in **Clearfield, Utah.** Want to work on the cutting edge of aerospace? Come join us!
**Responsibilities:**
+ Plans sequence of fabrication, assembly, installation, and other manufacturing operations relating to specific portion of the product for guidance of production workers
+ Plans detail operations from blueprints, engineering orders, change notices, and other engineering releases.
+ Determines the need for tooling and makes recommendations for the design and planning of required tools.
+ Prepares shop work orders for materials and product processing and coordinates with schedulers to ensure that items are being processed according to specifications and time requirements.
+ Maintains progress reports, schedules, and other related records.
**Basic Qualifications:**
**Associate Production Planner Level 1**
+ High School diploma or equivalent and 0 years of experience
+ Active DoD Secret clearance.
**Basic Qualifications:**
**Production Planner Level 2**
+ High School diploma or equivalent and 2 years additional education and/or experience in Manufacturing, Production, Planning, Aerospace or Aeronautics.
+ Active DoD Secret clearance.
**Preferred Qualifications:**
+ Experience authoring manufacturing procedures.
+ Pursuing Bachelors STEM Degree
+ Experience with Microsoft Office applications.
+ Special Program Access Clearance.
+ Experience in working with aerospace specifications and drawings.
+ Experience working in an aerospace manufacturing environment.
+ Experience creating and maintaining MBOMs.
+ Experience in the areas of composite hand layup, bonding, cure, fastened assembly, subsystems installation, metallic hardware or machining experience.
+ Functional knowledge of production control systems (SAP/Visual Mfg/etc)
+ Preference will be given to individuals already accessed to Special Access Programs
+ Experience in the use of CAD software
**What We Offer:**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer exceptional benefits/healthcare, a great 401K matching program, and 14 Employee Resource Groups inclusive of all employees!
**Salary Range:** $38,600 - $64,300
**Salary Range 2:** $45,800 - $76,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10154695UtahUTAssociate Production/Production Planner Level 1/2 (C-Shift(Weekend Shift))None2F092D7B5C9A4A3793A69DE19569DBCBhttp://northropgrumman-veterans.jobs/2F092D7B5C9A4A3793A69DE19569DBCB23LinthicumNorthrop GrummanUnited StatesUSA2024-03-29 06:15:47At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**NG- Military Internship Program Description:**
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military Internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members **.**
**Responsibilities for this internship position are:**
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for SkillBridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
+ **Goals** - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
+ **Objectives** - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
+ **Outcome** - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
+ Has served at least 180 days on active duty
+ Is within 12 months of separation or retirement
+ Will receive an honorable discharge
+ Has taken any service TAPS/TGPS
+ Has attended or participated in an ethics brief within the last 12 months
+ Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
**Job Description** :
**Northrop Grumman Chief Information Office** is seeking a well-qualified **Linux Systems Administrator** to join our qualified, diverse, and dynamic team of technical professionals. This position is located in the **Linthicum, MD** area.
**Roles and responsibilities will include:**
+ Perform as a Linux Systems Administrator for a classified government contract.
+ Maintain smooth operation of multi-user computer systems, including coordination with network, software, and system engineers, PC desktop technicians, project managers, end users, and customer and IT management.
+ Provide backup and recovery services; manage file systems and disk space; manage virus protection on a routine basis.
+ Create and maintain user and computer accounts and modify file permissions and security access lists.
+ Test new releases of products to ensure compatibility and minimize user impact.
+ Develop and document technical processes and procedures as needed.
+ Interact, meet, discuss, and troubleshoot issues with vendors; evaluate vendor products, services, and suggestions.
+ Adhere to strict Information Systems security guidelines in all cases.
+ Maintain security audit and logging information on all classified networked and standalone computers as directed by the Information Systems Security Manager (ISSM).
+ Prepare security documentation for input to Computer Security.
+ Report project status as required for all recurring and non-recurring efforts.
+ Work under minimal direction and independently determine and develop approach to solutions.
+ The selected candidate may be required to obtain and maintain program access during their internship.
The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, and collaborative/team settings across all levels.
**Basic Qualifications:**
+ Associate's degree with 4 years of experience; OR Bachelor's degree with 2 years of experience; OR a High School diploma or equivalent and 6 years of experience may be considered in lieu of a completed degree.
+ Candidate must have a current, DOD Security Clearance (Secret, Top Secret, or Top Secret/SCI, polygraph), in order to be considered and the ability to maintain the required security clearance throughout the duration of the SkillBridge internship.
+ Must have experience supporting Linux OS.
**Preferred Qualifications:**
+ Depending on program and/or customer requirements, the selected candidate may be required to have a current Security+CE or similar DoD 8570 security certification.
+ Excellent communication, interpersonal skills and the ability to interface with all levels of employees and management.
+ Linux domain architecture experience.
ESCSO
ESMilIntern
ESSysAdmin
**Salary Range:** $75,700 - $113,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10154804MarylandMDNorthrop Grumman (DoD SkillBridge) - Linux Systems AdministratorNone651CE7465F1540EC99D4DAAD956D19AAhttp://northropgrumman-veterans.jobs/651CE7465F1540EC99D4DAAD956D19AA23BaltimoreNorthrop GrummanUnited StatesUSA2024-03-29 06:15:47At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
**Northrop Grumman Mission Systems** is seeking a **5th** **Shift** **General Manufacturing Manager 1** to join our **SMT SALT** (Space and Autoline Technologies) Team at our new Troy Hill facility in **Elkridge, MD** .
**5th Shift Hours - 6:00pm - 6:00am (Friday, Saturday, & Sunday Only)**
The **General Manufacturing Manager 1** is responsible for the direct supervision of approximately 15 -25 represented (union) employees and processes in a Manufacturing environment. You will have demonstrated leadership skills and abilities as well as strong communication skills, and the ability to multitask in a fast-paced environment.
You will work independently across organizations; manage the use of consumables to achieve budget targets; assign and manage represented EMS Operators and/or Sensors & Systems Technicians to maximize efficiency and achieve target productive yields; implement our process improvements to improve affordability, quality, and schedule; and perform required SAP/MES transactions to manage Operator and/or M&P Specialist.
**What You'll get to Do:**
+ Providing direction to employees utilizing management guidelines and general policies
+ Discussing test details and results with test technicians and engineering
+ Driving employee efficiency
+ Meeting product cost and schedule targets
+ Managing the area to produce quality products
+ Engaging employees to achieve their highest potential
+ Implementing process improvements to improve affordability, quality, and schedule.
+ Performing required SAP/MES transactions
**Basic Qualifications:**
+ Bachelor's Degree with a minimum of 5 years of professional experience; Master's Degree with 3 years of experience; PhD with 0 years of experience (Note, 9+ years of work experience may be considered in lieu of degree)
+ 3+ years of experience in a manufacturing, production, or engineering environment
+ 2+ years of experience in management or leadership of people and/or projects
+ Must be able to obtain and maintain a U.S. Secret Level Security Clearance for which U.S. citizenship is required
**Preferred Qualifications:**
+ Bachelor's Degree in a STEM (Science, Technology, Engineering or Math) or Business area
+ Experience with SAP/MES
+ Experience with ISO, LEAN/WCM, and problem-solving methodologies
+ 5 or more years of manufacturing experience
+ Prior experience working in a represented (union) environment
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
**NGFeaturedJobs**
MANUMS
Northrop Grumman has approximately 90,000 employees in all 50 states and in more than 27 countries. We strive to attract and retain the best employees by providing an inclusive work environment wherein employees are receptive to diverse ideas, perspectives, and talents to help solve our toughest customer challenges: to develop and maintain some of the most technically sophisticated products, programs, and services in the world.
Our Values . The women and men of Northrop Grumman Corporation are guided by Our Values. They describe our company as we want it to be. We want our decisions and actions to demonstrate these Values. We believe that putting Our Values into practice creates long-term benefits for shareholders, customers, employees, suppliers, and the communities we serve.
Our Responsibility . At Northrop Grumman, we are committed to maintaining the highest of ethical standards, embracing diversity and inclusion, protecting the environment, and striving to be an ideal corporate citizen in the community and in the world.
**Salary Range:** $90,700 - $136,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10150779MarylandMDGeneral Manufacturing Manager 1 - 5th ShiftNone6D034F27F10E470C954C676E605DA142http://northropgrumman-veterans.jobs/6D034F27F10E470C954C676E605DA14223OrlandoNorthrop GrummanUnited StatesUSA2024-03-29 06:15:47At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Northrop Grumman Defense Systems has an opening for a Cyber Systems Engineer to help develop, test, secure, operate, and maintain information systems. This position is a cyber and security engineering role within the Immersive Mission Operations.
The ideal candidate demonstrates a broad skill set that is balanced between interpersonal, business, and technical capabilities listed below. You should have experience collaborating within and across teams to accomplish goals. You are committed to ethics, integrity, and innovation while challenging traditional ways of doing business. You should possess excellent verbal and written communication skills and be comfortable engaging with internal and external customers. You must be willing to travel domestically.
**Essential Functions:**
+ Hardening and securing information systems and network gear while understanding the operational and security impacts of various security configurations
+ Troubleshooting, identifying, and resolving system failures in a timely manner
+ Developing and maintaining information system test plans
+ Programming test scripts and patching information systems and network appliances
+ Performing system testing and documenting processes and procedures
+ Participating in technical interchange meetings
+ Providing support for development and enhancement of security engineering inputs to program documentation, security authorization packages, and research & development reports
+ Providing input to, and interface in customer meetings, or providing status input to higher level management
**This requisition is open as a dual level opportunity. We are hiring at a level 2 or level 3.**
**Basic Qualifications:**
+ **Level 2:** Bachelor's degree in Computer Science, Computer Engineering, or related discipline with 2 years of experience / 0 years with Masters
+ **Level 3:** Bachelor's degree in Computer Science, Computer Engineering, or related discipline with 5 years of experience / 3 years with Masters
+ Active Secret DoD Secret security clearance and able to achieve Tier 1 access eligibility
+ Demonstrated experience with the SDLC, agile methodologies and dev-ops tools and techniques
+ Demonstrated experience with automated integration, system, and non-functional testing
+ Ability to translate both business and technical requirements into testable scenarios
+ Experience with test management tools such as Atlassian suite
+ Working knowledge of Linux
+ Demonstrated experience of functional and non-functional testing
+ Working knowledge of network security, application security, security assessments/testing, industry, and DoD security best practices
+ Experience with authoring and reviewing comprehensive test documentation
+ Strong troubleshooting skills
+ Experience using Cyber tools, such as ACAS, STIG Tool, SCAP Tool, Vulnerator, and the Evaluate STIG tool.
+ Current DoD 8570 Certification IAT Level II or higher (Security+, CISSP, etc.) or ability to obtain one within 6 month
**Preferred Qualifications:**
+ Active Top Secret/SCI DoD Clearance
+ Experience with the Risk Management Framework (NIST SP 800-53)
+ Experience with SELinux
+ Experience using SPLUNK and developing queries
+ Experience with vulnerability assessments
+ Experience configuring and using ACAS vulnerability scanner
+ Experience with automated testing frameworks such as Eggplant, Microsoft Testing Framework
+ Experience with Continuous Integration Technologies such as Jenkins, Bamboo
+ Experience with Software Configuration Management tools such as Git, Stash
+ Experience in production of automated Cyber artifacts such as the RAR, IAVMs, POA&M, etc.
+ Experience using PowerShell for batch and PS1 scripting
+ Experience using VMware / vSphere and Horizon
+ Experience using Trellix software and Antivirus updates
+ Experience using InnoSetup to build software installation products
+ Ability to work outside of normal working hours and weekends as needed to support the customer's needs
+ Demonstrated experience of computer programming languages, particularly Java, JavaScript, Python, Bash
+ Active Secret DoD Secret security clearance and able to achieve Tier 1 access eligibility
+ Demonstrated experience with the SDLC, agile methodologies and dev-ops tools and techniques
+ Demonstrated experience with automated integration, system, and non-functional testing
+ Ability to translate both business and technical requirements into testable scenarios
+ Experience with test management tools such as Atlassian suite
+ Working knowledge of Linux
+ Demonstrated experience of functional and non-functional testing
+ Working knowledge of network security, application security, security assessments/testing, industry, and DoD security best practices
+ Experience with authoring and reviewing comprehensive test documentation
+ Aptitude to learn new technologies quickly
+ Strong troubleshooting skills
+ Experience using Cyber tools, such as ACAS, STIG Tool, SCAP Tool, Vulnerator, and the Evaluate STIG tool.
+ Current DoD 8570 Certification IAT Level II or higher (Security+, CISSP, etc.) or ability to obtain one within 6 months
**Salary Range:** $82,900 - $124,300
**Salary Range 2:** $101,900 - $152,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Orlando, FLR10152407FloridaFLCyber Systems/Principal Cyber Systems Engineer (AHT)NoneE85C244CE5E64B00B80EEC3E17E3EC66http://northropgrumman-veterans.jobs/E85C244CE5E64B00B80EEC3E17E3EC6623BeavercreekNorthrop GrummanUnited StatesUSA2024-03-29 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems Sector (NGMS) is a leading global provider of secure software-defined, hardware enabled mission systems. Our company is pioneering capabilities in a wide variety of sectors that keep our nation and our allies safe from undersea to space and cyberspace.
NG Microelectronics Center is seeking FPGA/Digital Engineers to support architecture definition and product design. We are seeking engineers with the desire to learn new technologies to join our innovative organization to help develop, enhance and maintain FPGA designs on cutting edge products and systems.
As a Digital Engineer at Northrop Grumman, you will have a challenging and rewarding opportunity to be a part of evolving how we deliver critical national defense products and capabilities for the warfighter. Our success is grounded in our ability to embrace change, move quickly and continuously drive innovation.
The selected individual will work on FPGA design across the full product life cycle process. In this capacity, you will utilize your working knowledge of RTL and digital interfaces.
**Are you seeking a challenging role with a strong team? Come define possible with us in Beavercreek, Oh!**
This position can be filled at the Principal or Senior Principal level.
**Basic Qualifications for Principal Level:**
+ Bachelor's degree in electrical engineering or comparable discipline with 5+ years of relevant professional experience (3+ years with an MS degree, 0+ yrs with a PhD)
+ Proficient in RTL modeling and simulation (experience within past 3 years)
+ Proficient with FPGA device(s) (experience within past 3 years)
+ U.S. Citizenship with the ability to obtain and maintain a Top-Secret security clearance.
**Basic Qualifications for Senior Principal Level:**
+ Bachelor's degree in electrical engineering or comparable discipline with 9+ years of relevant professional experience (7+ years with an MS degree, 4+ yrs with a PhD)
+ Proficient in RTL modeling and simulation (experience within past 3 years)
+ Proficient with FPGA device(s) (experience within past 3 years)
+ U.S. Citizenship with the ability to obtain and maintain a Top-Secret security clearance.
**Preferred Qualifications:**
+ MS in Electrical Engineering or comparable discipline
+ Active Top Secret security clearance
+ Experience with SystemVerilog
+ Experience with AMD/Xilinx Versal, Ultrascale, or Zynq families of devices.
+ Experience with Electronic Design Automation (EDA) Tools: Vivado, Xcelium
+ Experience with scripting languages (Tcl, Bash, Python)
+ Experience with High-Speed Serdes interfaces.
+ Experience with industry standard bus or I/O interfaces.
+ Experience with board or system level debug using test equipment such as oscilloscopes and logic analyzers.
+ Knowledge of digital signal processing
**Salary Range:** $107,300 - $160,900
**Salary Range 2:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Beavercreek, OHR10154782OhioOHFPGA / Digital EngineerNone3FA50E7254EE4B5A95A5255FFAC19E87http://northropgrumman-veterans.jobs/3FA50E7254EE4B5A95A5255FFAC19E8723BaltimoreNorthrop GrummanUnited StatesUSA2024-03-29 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
Northrop Grumman Space Systems is seeking a talented Senior Principal Engineer Quality to join our team in Baltimore, MD. The Program/Factory Quality Engineer develops, modifies, applies, and maintains quality evaluation and control systems and protocols for processing materials into partially finished or finished materials product, focusing on defect minimization and process improvement.
+ Collaborates with engineering and manufacturing functions to ensure quality standards are in place. Performs oversight and monitoring of program risks and opportunities.
+ Assists in the performance of independent quality reviews to ensure compliance with contractual and customer requirements.
+ Audits quality systems for deficiency identification and correction assuring process and product quality. Ensures that corrective measures meet acceptable reliability standards, and that documentation is compliant with requirements.
+ May specialize in the areas of design, incoming material, production control, product evaluation and reliability, inventory control and/or research and development as they apply to product or process quality.
+ May be certified in lean and six-sigma quality engineering methodologies.
**Basic Qualifications**
+ Senior Principal Quality Engineer: Bachelor's + 9 years of exp; Master's + 7 years of exp; PhD + 4 years of exp. 13 years in leu of degree.
+ **Active Secret Clearance** and the ability to obtain a TS/SCI.
+ Solid written and verbal interpersonal, communication skills and confidence to brief senior management with solid foundation in Mission Assurance applications.
+ Ability to coordinate customer communications across multiple, diverse sites.
+ Ability to work in cross-functional teams to resolve problems or issues.
+ Trained and experienced in performing Root Cause Corrective Action
+ Experience working with electrical or mechanical design requirements and specifications.
+ Experience with SAP
**Preferred Qualification:**
+ Active Top-Secret Clearance with SCI
+ Experience working on Space hardware.
+ Manufacturing process knowledge a plus.
+ Degree in Mechanical, Electrical, or Industrial Engineering
+ Experience in planning and organizing activities across multiple manufacturing locations.
+ Experience with AS9100/ISO9001 Quality Management
+ Record of increasing responsibility supporting manufacturing, electrical, and mechanical engineering organizations
+ Experience in evaluating circuit card assemblies for workmanship issues.
+ Have experience in quality planning.
+ Mentor lesser experienced engineers on areas of manufacturing
**Salary Range:** $115,400 - $173,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10154726MarylandMDSr. Principal Quality EngineerNone5325FF6D18B74DCCB373B72FC94E17E7http://northropgrumman-veterans.jobs/5325FF6D18B74DCCB373B72FC94E17E723Beale AFBNorthrop GrummanUnited StatesUSA2024-03-29 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a Senior Principal Systems Engineer to join our team of qualified, diverse individuals within our Systems Engineering organization. This role is located at Beale AFB, CA.
In this role, you will design and define system architecture for new or existing complex computer systems and supporting laboratory.
**Essential Functions:**
+ Determines systems specifications, input/output processes, and working parameters for hardware/software compatibility and maintenance of system security.
+ Coordinates design of subsystems and integration of total system. Identifies, analyzes, and resolves program support deficiencies.
+ Develops and recommends corrective actions. Will consult with software baseline engineers to ensure successful system total design.
+ Will plan, evaluate, and coordinate installation and/or reconfiguration of Commercial of the Shelf (COTS) hardware and propriety software builds.
+ Maintains technical expertise in all areas of networks and computer hardware and software interconnection and interfacing, such as routers, multiplexers, firewalls, hubs, bridges, gateways, etc.
+ Prepares or ensures that appropriate network documentation exists, including operational instructions.
+ Provides regular monitoring and network analysis regarding short- and long-range planning for in-house systems.
+ May coordinate third-party maintenance for network equipment.
+ May design network or portion of network that include selection of hardware and software packages.
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Educational assistance is available to employees who want to continue to learn and develop skills/knowledge. Our educational assistance program supports employee development by providing resources such as:
+ Free Education Advice: Call 855-222-2398 to speak with an experienced advisor to tailor an educational program to your career goals and needs.
+ Tuition Savings at Accredited Schools: Access a network of accredited schools in a variety of locations (and online) to take advantage of reduced tuition costs and waived fees.
+ Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees
**Basic Qualifications:**
+ Must have a Bachelors of Science degree in a STEM discipline with 9 years of relevant professional / military experience in Systems Engineering OR a Masters of Science degree in a STEM discipline with 7 years of relevant professional / military experience in Systems Engineering OR a Ph.D. in a STEM discipwith 4 years of relevant professional / military experience in Systems Engineering
+ Must have an active DoD Top Secret or higher clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation).
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance
+ Must be able to obtain and maintain an IAT Level 2 Security Certification (Security +, CySA+, CCNA Security, GICSP, GSEC, SSCP) or IAT DoD level 3 (CASP, CCNP-Security, CISA, CISSP,GCED, GCIH)
+ Must be willing to work outside of normally scheduled times, after hours support, and extended workdays.
+ Must be willing to travel up to 25%.
+ Must have a valid driver's license.
**Preferred Qualifications:**
+ Bachelor of Science degree in Information Systems with 9 years of experience or 7 years of relevant experience with a master's degree with a current IAT Level 2 security certification.
+ A DoD 8570 IAT Level I Certification (Security+).
+ Experience performing Information Systems Security duties.
+ Experience with the following operating systems and applications:
+ o Microsoft Office, Access, and Visio.
+ o Integrated Maintenance Data System
+ o Unix/Solaris Operating Systems and Principles
+ o Windows Server
+ o Wide Area (WAN) and Local Area (LAN) networking
+ o Group policy design, testing and implementation.
+ o Oracle RAC and DB
+ o VMware Virtualization
+ o Cisco IOS
+ o Red Hat Linux
+ o Assured Compliance Assessment Solutions (ACAS)
**Salary Range:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Beale Afb, CAR10154691CaliforniaCASenior Principal Systems Engineer (Active Top Secret Clearance required; Beale AFB CA)None9EB5D7B346654861938473234569DE0Bhttp://northropgrumman-veterans.jobs/9EB5D7B346654861938473234569DE0B23PalmdaleNorthrop GrummanUnited StatesUSA2024-03-29 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for either a **level 2 or level 3 Power Plant Operator** to join our team of qualified, diverse individuals. This position will be located **on site** in **Palmdale, CA** .
**Definition:**
On-shift responsibilities for the operation and maintenance of low-pressure steam boilers, hydronic boilers, oil free screw type air compressors, air dryers, potable water system and central refrigeration plant.
**Essential Functions:**
+ Responsible for operating, maintaining, troubleshooting, repairing, and testing low pressure boilers, hot water boilers, steam traps, air compressors, air dryers, chillers with a total capacity of over 2000 tons, and other auxiliary equipment.
+ Operates, maintains, repairs, and inspect expansion tanks, air compressors, air dryers, various kinds of pumps and valves, steam traps, water treatment systems and other plant auxiliary equipment.
+ Exercise knowledge of how to operate and maintain heating, refrigeration, potable water, compress air
+ Exercise basic computer skills for record keeping and system operation.
+ Test, analyzes, and treats potable water systems to ensure proper chlorine residuals.
+ Maintains logs of plant operation and records maintenance and repair work performed. Records data such as temperatures, pressures, water levels, and voltage and makes any necessary adjustments
+ Ensures proper safety response when using different equipment and machinery and uses personal protective equipment when necessary.
+ Coordinate and communicate with the Maintenance team
+ Other typical job titles include Stationary Engineer and Operating Engineer
The candidate will be trained on 1st shift then placed into one of the shifts below:
+ 1st shift 4/10 (4 days a week/10 hour shifts - 5:30-16:00)
+ 2nd shift 4/10 (4 days a week/10 hour shifts - 13:30-24:00)
+ 3rd shift 4/10 Graveyard (4 days a week/10 hour shifts - 21:30-8:00)
+ 1st shift 3/10 (3 days a week/10 hour shifts - 5:30-16:00)
+ 2nd shift 3/10 (3 days a week/10 hour shifts - 13:30-24:00)
+ 3rd shift 3/10 Graveyard (3 days a week/10 hour shifts - 21:30-8:00)
This position may be filled as either a level 2 or level 3 Power Plant Operator.
**Basic Qualifications for level 2:**
+ High School Diploma or GED and 2 years of related experience in operating and maintaining stationary engines mechanical equipment
+ The ability to obtain and maintain a DOD Secret Clearance within a reasonable period of time, as determined by the company to meet its business needs
+ The ability to work any shift and schedule, including Overtime and Holidays
+ Ability to stand for periods of time
+ Class C driver's license
**Basic Qualifications for level 3:**
+ High School Diploma or GED and 4 years of related experience in operating and maintaining stationary engines mechanical equipment
+ The ability to obtain and maintain a DOD Secret Clearance within a reasonable period of time, as determined by the company to meet its business needs
+ The ability to work any shift and schedule, including Overtime and Holidays
+ Ability to stand for periods of time
+ Class C driver's license
**Preferred Qualifications:**
+ T1/D1 water license
+ T2 and D2 water license
+ Ability to obtain and maintain an Unlimited Steam License
+ Certificates of advanced technical training
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly collaborative workplace. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do. Does this sound like you?
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself! Every ERG is inclusive of all employees!
At Northrop Grumman, we are innovating-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Salary Range:** $57,800 - $96,300
**Salary Range 2:** $68,600 - $114,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10151060CaliforniaCAPower Plant Operator Level 2/Level 3None3CDFB1BCBC2843D6A12A0681EDCD0CCChttp://northropgrumman-veterans.jobs/3CDFB1BCBC2843D6A12A0681EDCD0CCC23Rolling MeadowsNorthrop GrummanUnited StatesUSA2024-03-29 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our Engineering and Sciences (E&S) organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
Our Rolling Meadows, IL. location is looking for a Technical Writer/Editor.
Candidate will be responsible for authoring/editing technical documents detailing highly complex, state-of-the-art Automatic Test Equipment (ATE), Targeting Systems, and Countermeasures equipment designed to protect host aircraft from Radio Frequency (RF) and Infrared (IR) threats.
Systems are installed on a wide variety of fixed and rotary-wing aircraft. Technical documents include, but are not limited to: Flight Manuals, Job Guides, General Equipment/Systems, Fault Isolation, Fault Reporting, and Illustrated Parts Breakdown (IPB). Candidates will have specific knowledge and experience writing to Military Standards and Specifications and have responsibility for creating a wide variety of content, to include Theory of Operation, Maintenance, Operation, Troubleshooting, Block Diagrams, and Wiring Diagrams.
The applicant must possess the ability to clearly and effectively communicate technical specifications and instructions to both operators and maintainers of the equipment. The candidate will acquire subject knowledge by interviewing product developers, observing performance of production methods, referring to technical specifications, blueprints, engineering illustrations, and trade journals. Oversees preparation of illustrative materials, selecting drawings, sketches, diagrams and charts. Conducts quality review of peer's documentation.
The candidate shall present writing samples.
**Basic Qualifications for Technical Writer:**
+ Bachelors' degree and 3 years related experience or master's and 1 years related experience.
+ Demonstrated competency in desktop and publishing applications, should include the following: Microsoft Office applications (Word, Excel, PowerPoint, Project)
+ Familiarity with Adobe Acrobat Suite
+ Other knowledge, skills, and experience include:
+ Proofing/editing
+ Print production
+ Fine attention to detail
+ U.S. citizen and ability to obtain Security Clearance-Secret
**Preferred Qualifications:**
+ Demonstrated competency in at least one of the following: FrameMaker, Broadvision (Quicksilver), or Arbortext Editor
+ Experience with Mil Standards (Air Force, Navy, Army)
+ Ability to interpret simple schematic circuits.
**Salary Range:** $62,600 - $93,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Rolling Meadows, ILR10154636IllinoisILTechnical Editor and WriterNone4DD5E86AF140442DA2FA6C6C4FE158D1http://northropgrumman-veterans.jobs/4DD5E86AF140442DA2FA6C6C4FE158D123PalmdaleNorthrop GrummanUnited StatesUSA2024-03-29 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Aeronautics System sector is hiring a Mission Assurance Program Director 1 to join our team of qualified, diverse individuals supporting the Air Dominance Division. The Mission Assurance Program Director has responsibility for quality requirements across the Air Dominance portfolio by providing leadership and management to assigned personnel. This leadership opportunity will be located in Palmdale, CA.
In this role, you will be serving as Mission Assurance Program Director responsible for all Quality related activities across the product life cycle. You will be responsible for the oversight of Mission Assurance employees and program operations at multiple sites in Southern California and Texas.
This position requires managing and leading key program meetings including the Preventive Corrective Action Board (PCAB), Management Reviews, and Customer Reviews. The MA Program Director will regularly interface with internal and external customers regarding requirements and understanding stakeholder expectations and develop controls that build confidence for process execution.
The selected candidate will have excellent communication skills and the ability to prepare and present to the customer and the Air Dominance Leadership team. This role also requires a candidate that can be strategic, think outside of the box, and be successful in a very demanding and challenging environment.
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement and respond vigorously to change.
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**The role is responsible for:**
+ Supporting the Air Dominance Quality Management System and ensure adherence to corporate/sector policies and procedures as well as contractual quality requirements.
+ Maintaining the Program Quality Plan(s)
+ Develop and maintain relationships with Lockheed and DCMA and other government counterparts to ensure Northrop Grumman is delivering a quality product to our customer and end user.
+ Ensuring timely support and flow down of sector/division goals and key operating factors to include support of the Air Dominance affordability goals.
+ Partnering with other Directors within the Air Dominance organization.
+ Provides oversight for all Mission Assurance budgets for the program
+ Developing and approving Mission Assurance input for proposals
+ Staffing and daily management of Mission Assurance personnel assigned to the F-35 in all locations (Quality Engineering, Inspection, Software Quality, Design Assurance, and Supplier Quality).
+ Interface with the Mission Assurance homerooms supporting Air Dominance to ensure resources are properly applied.
+ Defines and monitors mission assurance program specifications and processes to ensure success.
+ Assesses program performance and risks and validate performance metrics reporting.
**Basic Qualifications:**
+ Bachelors degree and 10 years of related experience as defined below, OR a Master's degree and 8 years of related experience as defined below.
+ Related experience includes professional and/or military experience to include a background in aircraft, aerospace, manufacturing, quality, program management, engineering, and/or business management related functions.
+ Prior experience as a leader, manager, or other team lead roles.
+ Must have an active DoD Secret or higher clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation).
+ Must have the ability to obtain and maintain a Special Access Program (SAP) Clearance.
**Preferred Qualifications:**
+ Current Special Access Program (SAP) clearance
+ Active DoD Top Secret clearance
+ Experience in root cause and corrective action processes
+ Strong orientation to change in a dynamic and logistically challenging environment
+ Advanced level of understanding of complex quality policies, procedures, and governmental regulations
+ Ability to analyze alternative courses of action where decisions have risk/reward implications for program or area of influence.
+ A demonstrated ability to communicate effectively to all levels of any organization
+ Strong people leadership skills in developing others
+ Ability to build partnerships with internal and external stakeholders
+ DCMA and AS9100 Quality Management System compliance knowledge
**Salary Range:** $190,600 - $286,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10154839CaliforniaCAMission Assurance Program Director 1: Air DominanceNone60B6409A2A794A5792E0A29C6E75C7E8http://northropgrumman-veterans.jobs/60B6409A2A794A5792E0A29C6E75C7E823NorthridgeNorthrop GrummanUnited StatesUSA2024-03-29 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Northrop Grumman Enterprise Services organization is seeking an experienced PC Network Support Technician at its Northridge, CA. location. The selected candidate will work with the ITS Network and EDN teams to identify and pursue possible connectivity to Enterprise Distributed Network (EDN), in a Manufacturing environment. He/she will work with EDN resources to find ways to automate as much of the patching and virus updates as possible.
Additional Duties May Include:
• Creation and management of ISSP's and related documentation for unclassified off-net computers in Archer GRC
• Work with all involved organizations to create and execute POAMs (Plan Of Actions and Milestones) and document in Archer GRC.
• Audio/Visual enabled Conference Room support
• Conduct a continuous monitoring program for all off network (enclaved) computers
• Coordinate with local operations supervisors to schedule patching, virus updates and other hardware and software support for all unclassified off-net and advanced manufacturing computers around shop floor production schedule to eliminate/minimize production downtime and ensure no lost product due to unexpected/unplanned IT activities
• Perform monthly patching, virus updates and other hardware and software support per DFARS and CMMC controls and requirements.
• Provide off-hour on-call support and after-hours and/or weekend work based on shop floor production schedule when required
• Perform advanced troubleshooting, hardware, software and systems installs for all shop floor computers
• Perform advanced off-net and shop floor systems support for server and system administration
• Perform network technician/hands-on support to ITS Network and EDN teams to support connectivity to EDN and Network trouble-shooting (check ports, patch-thru's, basic port-config
• Work with local Facilities Engineering/Operations/Manufacturing and Process Engineering to help create configurations and BOMs for new hardware and software that needs purchased as part of a shop floor equipment purchase and/or capital/facilities request
• Work with InfoSec and local business leadership to support audits and audit findings on off-net equipment
• Work with InfoSec to ensure compliance with DFARS and CMMC requirements and controls
• Work with equipment vendors to update hardware, software and connectivity to the equipment
• Work with vendors to troubleshoot problems
• Ensure system, configurations, data etc are backed up and secure for DBR purposes
**Basic Qualifications:**
• High School diploma or equivalent and a minimum of 3 years technical support experience
• Customer relationship skills - must have a passion for customer support
• Must be able to lift and carry a minimum of 50 lbs.
• Must be able to spend an entire work day on their feet (walking, standing, crawling under desks/equipment)
• Candidates must have the ability to obtain a DOD **Secret** level security clearance as a condition of continued employment
**Preferred Qualifications:**
• Strong troubleshooting and problem-solving abilities
• Understanding of NIST 800-171 and CMMC compliance and controls
• Implementation and management of controls for compliance to NIST 800-171 and CMMC
• Prior experience supporting Operations in a Manufacturing environment
• Strong verbal and written communication skills
• Ability to complete multiple simultaneous projects in a timely manner
• Self-starter/ Quick learner / self-directed
• Work with minimal supervision in a fast paced environment
• Current Secret DoD clearance
**Salary Range:** $68,600 - $114,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Northridge, CAR10154744CaliforniaCAPC Network Support Tech 4None69DBFF0DCD7E4D9F8A4D8264EAFC083Bhttp://northropgrumman-veterans.jobs/69DBFF0DCD7E4D9F8A4D8264EAFC083B23Beale AFBNorthrop GrummanUnited StatesUSA2024-03-29 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a Staff Systems Engineer to join our team of qualified, diverse individuals within our Systems Engineering organization. This role is located at Beale AFB, CA.
In this role, you will design and define system architecture for new or existing complex computer systems and supporting laboratory.
**Essential Functions:**
+ Determines systems specifications, input/output processes, and working parameters for hardware/software compatibility and maintenance of system security.
+ Coordinates design of subsystems and integration of total system. Identifies, analyzes, and resolves program support deficiencies.
+ Develops and recommends corrective actions. Will consult with software baseline engineers to ensure successful system total design.
+ Will plan, evaluate, and coordinate installation and/or reconfiguration of Commercial of the Shelf (COTS) hardware and propriety software builds.
+ Maintains technical expertise in all areas of networks and computer hardware and software interconnection and interfacing, such as routers, multiplexers, firewalls, hubs, bridges, gateways, etc.
+ Prepares or ensures that appropriate network documentation exists, including operational instructions.
+ Provides regular monitoring and network analysis regarding short- and long-range planning for in-house systems.
+ May coordinate third-party maintenance for network equipment.
+ May design network or portion of network that include selection of hardware and software packages.
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Educational assistance is available to employees who want to continue to learn and develop skills/knowledge. Our educational assistance program supports employee development by providing resources such as:
+ Free Education Advice: Call 855-222-2398 to speak with an experienced advisor to tailor an educational program to your career goals and needs.
+ Tuition Savings at Accredited Schools: Access a network of accredited schools in a variety of locations (and online) to take advantage of reduced tuition costs and waived fees.
+ Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees
**Basic Qualifications:**
+ Must have a Bachelors of Science degree in a STEM discipline with 14 years of relevant professional / military experience in Systems Engineering OR a Masters of Science degree in a STEM discipline with 12 years of relevant professional / military experience in Systems Engineering OR a Ph.D. in a STEM discipline with 9 years of relevant professional / military experience in Systems Engineering
+ Must have an active DoD Top Secret or higher clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation).
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance
+ Must be able to obtain and maintain an IAT Level 2 Security Certification (Security +, CySA+, CCNA Security, GICSP, GSEC, SSCP) or IAT DoD level 3 (CASP, CCNP-Security, CISA, CISSP,GCED, GCIH)
+ Must be willing to work outside of normally scheduled times, after hours support, and extended workdays.
+ Must be willing to travel up to 25%.
+ Must have a valid driver's license.
**Preferred Qualifications:**
+ Bachelor of Science degree in Information Systems with 10 years of experience or 8 years of relevant experience with a master's degree with a current IAT Level 2 security certification.
+ A DoD 8570 IAT Level I Certification (Security+).
+ Experience performing Information Systems Security duties.
+ Experience with the following operating systems and applications:
+ o Microsoft Office, Access, and Visio.
+ o Integrated Maintenance Data System
+ o Unix/Solaris Operating Systems and Principles
+ o Windows Server
+ o Wide Area (WAN) and Local Area (LAN) networking
+ o Group policy design, testing and implementation.
+ o Oracle RAC and DB
+ o VMware Virtualization
+ o Cisco IOS
+ o Red Hat Linux
+ o Assured Compliance Assessment Solutions (ACAS)
**Salary Range:** $157,500 - $236,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Beale Afb, CAR10154688CaliforniaCAStaff Systems Engineer (Active Top Secret Clearance required; Beale AFB CA)NoneBE20DCC68C5B40349C4202A956D6B66Fhttp://northropgrumman-veterans.jobs/BE20DCC68C5B40349C4202A956D6B66F23Redondo BeachNorthrop GrummanUnited StatesUSA2024-03-29 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**This position is currently contingent on program award and associated program award funding. Start dates are determined after funding confirmation.**
Northrop Grumman Aeronautics Systems has an opening for a **Staff Aeronautical Engineer - Vehicle Management Systems (VMS)** to join our team of qualified, diverse individuals within our South Bay Vehicle Engineering organization. This position is located in Redondo Beach, CA.
Primary Duties and Responsibilities:
+ Lead a team of VMS engineers and provide guidance to technical team and program leadership
+ Define key subsystem capabilities, performance requirements, and design constraints in accordance with customer specifications
+ Define, develop, and manage system components, interface definitions, and implementation approaches
+ Interface with flight-critical and safety-critical systems for control of an aircraft, in particular functionality for control and status reporting.
+ Example systems may include the following subsystems:
+ Flight Control Actuation
+ Brake Control
+ Nose Wheel Steering
+ Electrical Power
+ Environmental Control
+ Ground Support Interfaces
+ Landing Gears & Doors
+ Fuel Systems
+ Air Data Systems
+ Perform technical planning, analysis, and hardware trade studies to meet requirements
+ Perform component hardware and software integration, and derive software requirements for control of flight-critical and safety-critical systems
+ Develop and execute component, subsystem, and system level test procedures to verify functionality of the VMS hardware and software
+ Coordinate with software engineers, subsystems/ design engineers, hardware engineers, test and integration, suppliers, systems engineering.
+ Investigate and resolve system anomalies across multiple engineering disciplines
The selected candidate should thrive in a fast-paced work environment with high expectations and collaborative/team settings across all levels.
**Basic Qualifications:**
+ Bachelor's Degree in a Science, Technology, Engineering, or Mathematics (STEM) field from an accredited university with 14 years of related engineering experience; OR a Master's Degree in STEM with 12 years of related engineering experience; OR a PhD in STEM with 9 years of related engineering experience.
+ Experience leading an engineering team; preferably a VMS team.
+ Experience with coordinating with program leadership, budgeting, and work scope planning.
+ An active DoD Secret or higher security clearance is required
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance
**Preferred Qualifications:**
+ Master's Degree or PhD in Mechanical, Aerospace, Computer Science, Computer Engineering, Electrical Engineering discipline from an accredited university
+ Experience with design, development, and/or integration of the Air Vehicle systems and subsystems listed above
+ 5+ years leading VMS teams
+ 5+ years coordinating with program leadership, budgeting, work scope planning.
+ Experience with digital bus and analog interfaces
+ Experience with power electronics
+ Experience with hardware/software integration, verification & validation
+ Experience with engineering modeling and simulation
+ Excellent oral, written, and interpersonal communication skills
+ Ability to work as part of a team, organize work, manage time, plan, and prioritize
+ Current or previous Special Program Access (PAR/SPA).
**Salary Range:** $165,000 - $247,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Redondo Beach, CAR10154857CaliforniaCAStaff Aeronautical Engineer (Vehicle Management Systems)NoneF24088AFEC4C43D880B8FD8C8DCD7838http://northropgrumman-veterans.jobs/F24088AFEC4C43D880B8FD8C8DCD783823BeaufortNorthrop GrummanUnited StatesUSA2024-03-29 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Are you ready to put your experience to work at Northrop Grumman? If so, our Defense Systems GBMR F-35 Sustainment Operating Unit, wants YOU to join our Team as an **Aircraft Mechanic** and join our team of qualified, diverse individuals. You will be assigned as an **Aircraft Mechanic** - Tool Room supporting F-35 organizational maintenance at MCAS Beaufort. Your Mission will be to support O-Level maintenance on the F-35.
**Job Description:**
**Your duties and responsibilities include (but are not limited to)** **:**
+ **You will be subject to work first, second, third, or weekend shift, as directed by the Aircraft Maintenance Manager.**
+ **You must be able to depart on temporary duty assignments- on short notice. The individual will practice good housekeeping and follow safety procedures and ensure both programs are enforced** .
+ Performing the duties of signing-out and signing-in of centralized tooling, consumables, and Support Equipment (SE) in the Autonomic Logistics Information System (ALIS) or manual check-out logs.
+ Assisting with work center/program audits to confirm compliance with the Tool Control Manual, COMNAVAIRFORINST 4790.2 and 4790.35.
+ Assisting our customer with storage of existing tooling and establishing storage locations for new tooling.
+ Ensuring Periodic Maintenance Inspections (PMIs) are performed on the tooling and Support Equipment prior to the expiration.
+ Performing follow-up inspections to ensure work-orders are signed off in CMMS for tooling, special test equipment, and Support Equipment.
+ Assisting with compliance of the Support Equipment Planned Maintenance System (SEPMS).
+ Assisting the customer with pre- and post-deployment preparations, to include inventories, inspections, packaging, and shipping of tools and/or equipment.
+ Obtaining special certifications as locally required.
+ Performing other collateral duties as assigned by the Aircraft Maintenance Management.
+ **Willing/able to provide temporary support to our other CLS locations and/or emerging deployments.**
+ **Willing/able** **to work a flexible work schedule that may include extended shifts, holidays, and weekends and support on call status for 24 hours-a-day, 7 days-a-week, 365 days a year.**
+ **Able to meet the physical demands of this position, including regularly required to lift up to 50 pounds and perform repetitive movements, work overhead, use hands to grasp, handle, and/or feel, reach. The employee is frequently required to stand, walk, stoop, kneel, squat, crawl, and twist.**
**Maintenance tasks include** :
+ Performing required preventative/periodic maintenance on aircraft support equipment and tooling.
**Technical requirements include** :
+ Fault isolation, troubleshooting, and repair of support equipment discovered during pre-operational inspections. Interprets and uses various test equipment and works from wiring diagrams, engineering drawings, job guides, and maintenance manuals.
**Basic Qualifications** **:**
+ High School diploma or equivalent and a minimum of 4 years of aircraft mechanical/airframe/power plants/turboprop/egress/avionics and/or crew chief experience.
+ Ability to obtain Collateral Duty Inspector Qualification within 18 months of position start date.
+ Ability to read and interpret technical data and blueprint drawings.
+ **A current** **Secret Clearance (or higher)** .
+ **Ability to obtain Special Access Program clearance, as required** .
**Preferred Qualifications:**
+ US Navy or Marine Corps CDI, Air Force 5 or 7 Level, or equivalent Airframe and Power Plant (A&P) Certification .
+ 6 or more years F-22 or F-35 Aircraft mechanic experience.
+ U. S. Navy or U. S. Marine Corps experience with thorough understanding of F-35 Fighter Aircraft Systems and operations under COMNAVAIRFORINST 4790.2 and 4790.35.
+ F-135 Power plant engine run certification, F-35 avionics systems, fighter aircraft crew escape systems (i.e., canopy and ejection seat), experience in structural repairs and low observable system maintenance are highly desirable.
+ Excellent communication skills and experience in a Military-focused Customer Service-Related Industry.
**Salary Range:** $54,400 - $90,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Beaufort, SCR10154632South CarolinaSCCLS - F35 Aircraft Mechanic 3 / Tool Room - (Beaufort SC)NoneF791EB42C9BB4EF7ADE220971941C80Ahttp://northropgrumman-veterans.jobs/F791EB42C9BB4EF7ADE220971941C80A23Redondo BeachNorthrop GrummanUnited StatesUSA2024-03-29 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**This position is currently contingent on program award and associated program award funding. Start dates are determined after funding confirmation.**
Northrop Grumman Aeronautics Systems has an opening for an **Aeronautical Engineer (Air Vehicle Configuration Design and Integration) or Principal Aeronautical Engineer (Air Vehicle Configuration Design and Integration)** to join our team of qualified, diverse individuals. This position will be located in Redondo Beach, CA.
The ideal candidate will possess a background in air vehicle design and overall shaping with practical experience in the development of new air vehicle concepts, including experience/knowledge relating to conceptual vehicle layout/design, air vehicle integration, parametric modeling for Multi-Disciplinary Optimization, and surface design / loft. To be successful, the selected individual shall have exposure to the fields of aerodynamics, aircraft performance and sizing, propulsion, CFD, structural design, and mass properties analysis. In addition, the selected individual should have an understanding of the air vehicle maturation process as a design transition from concept through manufacturing and flight test.
Essential Functions:
+ Support the Configuration Design and Integration team through the development and overall system shaping and design of multiple advanced air vehicles concepts.
+ Develop parametric CAD models (primarily in Siemens NX) in support of conceptual vehicle sizing studies for advanced Air Vehicles.
+ Support vehicle design configuration design and trade study tasks in conjunction with multiple team members working to solve complex vehicle design challenges across all vehicle design stages.
+ Support detailed design, up to and including lofting/surfacing, zones development, master equipment list management, Interface Control document creation, propulsion integration, systems and subsystem CAD integration.
The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, collaborative/team settings across all levels.
This requisition may be filled at either an Aeronautical Engineer (Air Vehicle Configuration Design and Integration) or Principal Aeronautical Engineer Air (Vehicle Configuration Design and Integration) level.
**Basic Qualifications for an Aeronautical Engineer** **(Air Vehicle Configuration Design and Integration)** :
+ Bachelor's Degree in a STEM (Science, Technology, Engineering or Mathematics) and 2 years of related engineering experience; OR a Master's Degree in a STEM discipline and 0 years of related engineering experience.
+ Demonstrated experience supporting the design and modeling of advanced air vehicle concepts
+ Demonstrated proficiency with an industry standard design tool such as Siemens NX, CATIA V5, or ProE CAD.
+ Demonstrated proficiency in MS Office with good technical writing skills and the ability to collaborate, communicate, and present technical material to various stakeholders.
+ A **current active in-scope DoD Secret security clearance or higher** , with the ability to obtain Special Program Access (SAP).
**Basic Qualifications for a Principal Engineer** **(Air Vehicle Configuration Design and Integration)** :
+ Bachelor's Degree in a STEM (Science, Technology, Engineering or Mathematics) and 5 years of related engineering experience; OR a Master's Degree in a STEM discipline and 3 years of related engineering experience; OR a PhD in a STEM discipline with 0 years of experience.
+ Demonstrated experience supporting the design and modeling of advanced air vehicle concepts
+ Demonstrated proficiency with an industry standard design tool such as Siemens NX, CATIA V5, or ProE CAD.
+ Demonstrated proficiency in MS Office with good technical writing skills and the ability to communicate and present technical material to various stakeholders.
+ A **current active in-scope DoD Secret security clearance or higher** , with the ability to obtain Special Program Access (SAP).
**Preferred Qualifications for both levels:**
+ Bachelor's or Master's Degree in Aeronautical or Aerospace Engineering preferred.
+ Design experience relating to the development of Air Vehicles concepts.
+ Knowledge relating to design principles in disciplines such as: aerodynamics, aircraft performance and sizing, propulsion, CFD, structural design, low observables, mass properties, and guidance navigation control
+ Excellent communication, interpersonal skills, and the ability to interface with all levels of employees and management.
**Salary Range:** $87,200 - $130,800
**Salary Range 2:** $107,300 - $160,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Redondo Beach, CAR10154713CaliforniaCAAeronautical Engineer or Principal Aeronautical Engineer (Air Vehicle Configuration Design and Integration)None40531D49816C4D9CB1433337A0A00B75http://northropgrumman-veterans.jobs/40531D49816C4D9CB1433337A0A00B7523LinthicumNorthrop GrummanUnited StatesUSA2024-03-29 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
The Northrop Grumman Mission Systems (NGMS) Microelectronics Design and Application Center (MDA) pushes the boundaries of innovation, redefines the leading edge of exotic new technologies, and drives advances in the sciences. One of our most challenging new fields is Transformational Computing, which combines the unique properties of superconductivity and quantum mechanics to develop radical new energy-efficient computing systems. Our team is chartered with providing the skills to transform computing beyond Moore's Law, advancing development of computer architectures, processing/memory subsystems, and large-scale high-performance computing systems. You'll work in a R&D environment alongside a broad array of scientists and engineers to make these processing solutions a reality and deliver remarkable new advantages to the warfighter.
The Microelectronics Design and Application Center (MDA) is seeking Cryogenic Thermal Analysts to join our Simulation and Modeling team.
**This position requires work onsite at either our Advanced Technologies Laboratory located in Linthicum, MD or in Annapolis Junction, MD**
Candidate will work as a thermal analyst supporting the development of superconducting computing technologies. Responsibilities include creating low temperature numerical models, running experiments measuring material thermal properties, boundary resistances, and heating in components. The job will often require deep dives into literature to understand the unique physics that occurs in the sub-Kelvin/nanoscale regime.
**This position can be filled at the Principal Thermal Analyst level OR Senior Principal Thermal Analyst level. Qualifications for both are listed below:**
**Basic Qualifications for a Principal Cryogenic Thermal Analyst:**
+ Bachelor's of Science in: Mechanical Engineering, Aerospace Engineering, Physics or related field specializing in thermodynamics and heat transfer with 5 years of experience, a M.S Degree with 3 years of experience; 0 years with a PhD.
+ Working knowledge of thermal analysis software (COMSOL, IcePak, Sinda/Fluint) or similar.
+ Experience with and proficiency in:
+ Problem solving and analytical skills
+ Heat transfer and thermodynamics
+ Experimental design
+ Ability to communicate effectively to team members both verbally and in writing.
+ Ability to adjust to changing priorities and requirements.
+ Ability to obtain and maintain TS/SCI with polygraph clearance (U.S. Citizenship is a prerequisite).
**Basic Qualifications for a Senior Principal Cryogenic Thermal Analyst:**
+ Bachelor's of Science in: Mechanical Engineering, Aerospace Engineering, Structural Engineering, Physics or related field specializing in thermodynamics and heat transfer with 9 years of experience, a M.S Degree with 7 years of experience, or a PhD with 4 years of experience
+ Working knowledge of thermal analysis software (COMSOL, IcePak, Sinda/Fluint) or similar.
+ Experience with and proficiency in:
+ Problem solving and analytical skills
+ Heat transfer and thermodynamics
+ Experimental design
+ Ability to communicate effectively to team members both verbally and in writing.
+ Ability to adjust to changing priorities and requirements.
+ Working knowledge of cryogenic test systems; dilution refrigeration, 4 K cryo-coolers, Adiabatic Demagnetization Refrigeration (ADR) and liquid helium immersion.
+ Experience in cryogenic system design and analysis
+ Experience in nanoscale heat transfer
+ Ability to obtain and maintain TS/SCI with polygraph clearance (U.S. Citizenship is a prerequisite).
**Preferred Qualifications for both Principal Cryogenic Thermal Analyst/Sr. Principal Cryogenic Thermal Analyst:**
+ Design and analysis experience simulating heat transfer within superconducting circuitry.
+ Working knowledge of cryogenic test systems; dilution refrigeration, 4 K cryo-coolers, Adiabatic Demagnetization Refrigeration (ADR) and liquid helium immersion.
+ Experience in nanoscale heat transfer
+ Experience in cryogenic system design and analysis
+ Design and analysis experience simulating heat transfer within superconducting materials
+ Experience in modeling mechanical structures in; Solidworks, NX or similar.
+ Active TS/SCI with polygraph security clearance
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10154730MarylandMDPrincipal Cryogenic Thermal Analyst/Sr. Principal Cryogenic Thermal AnalystNone49A89F3E3F4D4A85A59F5ED7FCB640F9http://northropgrumman-veterans.jobs/49A89F3E3F4D4A85A59F5ED7FCB640F923Schriever AFBNorthrop GrummanUnited StatesUSA2024-03-29 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The SWDC Threat Products and Services organization is responsible for the development and delivery of high fidelity threat data products in support of the Missile Defense Agency's (MDA's) ground test event simulations.
The IR Plume Modeling and Simulation Engineer role will help develop, analyze and QC IR plume models, produce IR plume signatures, and be responsible for the verification and validation of signatures.
This position requires a detail-oriented mid-level engineer responsible for understanding infrared (IR) Plume models, adjusting models to work within the TMC's generation framework, understanding and verifying results, and providing documentation required for running threat data in Ground Test architectures.
**Essential Functions:**
+ Understanding of Rocket Exhaust IR plume phenomenology, as well as, modeling and simulation methods and processes.
+ Effectively communicate requirements and processes to fellow engineers, system engineers, software developers.
+ Comfortable working with little or no direct supervision
+ Work as an integral member of a geographically dispersed Agile cross functional team.
**This requisition may be filled at a higher grade based on qualifications listed below.**
**Basic Requirements:**
+ **Level 3:** Bachelor's Degree in a STEM (Science, Technology, Engineering or Mathematics) discipline preferred from an accredited university and 5 years of related experience, or a Master's degree in a STEM discipline and 3 years experience, or a PhD and 1 year of experience.
+ **Level 2:** Bachelor's Degree in a STEM (Science, Technology, Engineering or Mathematics) discipline preferred from an accredited university and 2 years of related experience, or a Master's degree in a STEM discipline and 0 years experience
+ Must already possess an active DoD Secret clearance.
+ Experience with verification and validation IR plume results
+ Ability to collaborate with systems engineers, software engineers, model end users, and MDA ground test stakeholders to ensure product requirements are met.
+ Understanding of the flight characteristics, motion, and performance of missile systems.
+ Understanding of rocket engines, combustion chemistry, flow field physics, and resulting infrared (IR) signatures.
+ Must be self-motivated, able to work in a dynamic team environment.
**Preferred Requirements:**
+ Experience in modeling missile rocket exhaust signature representations.
+ Experience running CFD and legacy plume codes (JANNAF SPF, VIPER, CHARM, SOCRATES) or more contemporary codes a plus.
+ Experience with MODTRAN a plus.
+ Experience developing CFD mesh models from CAD.
+ Experience developing chemistry models for rocket exhaust.
+ Understanding and experience with High Performance Computing architectures.
+ Ability to navigate requirements databases. Strong knowledge of Model Based System Engineering with an understanding of DODAF and SysML.
+ Experience in MDA organization with knowledge of OPIR sensor behaviors and models.
+ Programming background to include languages such as MATLAB and Python.
+ Experience compiling test data and writing reports.
+ Experience operating in an Agile SAFe organization.
+ Experience with Atlassian tool suite (e.g. Confluence, JIRA, Bitbucket, etc.).
+ Strong math, physics, chemistry, or engineering background is preferred.
+ Ability to obtain DoD Top Secret Clearance.
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment that encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family. Your benefits will include the following:
Health Plan
Savings Plan
Paid Time Off
Education Assistance
Training and Development
Flexible Work Arrangements
https://benefits.northropgrumman.com/us/en2/BenefitsOverview/Pages/default.aspx
NGSpace
COSpace
NGFeaturedJobs
**Salary Range:** $79,300 - $118,900
**Salary Range 2:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Schriever Afb, COR10151009ColoradoCOIR Plume Modeling and Simulation Engineer 2/3None5A0B6C4DFA0A4FFDB98257746941EB11http://northropgrumman-veterans.jobs/5A0B6C4DFA0A4FFDB98257746941EB1123BeltsvilleNorthrop GrummanUnited StatesUSA2024-03-29 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history -
from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your
whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
An organization within Northrop Grumman's Payload and Ground Systems (PGS) division, Mission Enabling Products is a leading designer, producer, and supplier of spacecraft components that power and enable satellites of all classes. Products include spacecraft panels, bus structures, precision optical structures, deployable structural systems and mechanisms, solar arrays, and antenna reflectors. Our products are on virtually every U.S. satellite built in the last 20 years.
Northrop Grumman Mission Enabling Products Business Unit is seeking a General Manufacturing, Manager 3 as a Value Stream Owner, VSO for our Integrated Thermal Systems (ITS) Value Stream in Beltsville, MD.
Job Summary:
The position of General Manufacturing, VSO, reports directly to the Director of Operations. This position helps to develop, communicate, and implement the Business Unit and Operating Unit vision, strategy, goals, and metrics to meet objectives for Safety, Quality, Delivery, Customer Satisfaction, Cost and Continuous Improvement.
Primary Responsibilities:
+ Accountable to meet or exceed the safety, quality, delivery, employee and cost (SQDEC) expectations of external and internal customers.
+ Own, execute and continuously improve Daily Management processes and communicate/escalate key issues to leadership and throughout the organization.
+ Establish and perform to program budgets and schedules for all product delivery systems as well as overhead budgets for the operation, maintenance, and sustainment of the production facilities and assets.
+ Provide leadership to the Operations Leadership Team, which includes: Process Center Leaders, Value Stream Leaders, Manufacturing Engineering Leader, Master Scheduling and Production Planning & Material Control Management.
+ Develop, prioritize and execute Capital Expenditure projects/plans.
+ Ensure projects are continuously monitored and achieve performance/quality, delivery, and budget commitments.
+ Own ITS Operations resource management, escalation, and prioritization.
+ Ensure cross-training and development programs are developed.
+ Create an environment of trust and transparency.
+ Continuously improve products, processes, systems and services through the application of lean concepts, best practices and ideas.
+ Support customer proposals through delegation participation and approval; ensure management team provides basis of estimates for labor and schedule durations.
+ Ensure all production and operational aspects of the department are conducted in accordance with the Company's Quality Management System and maintain AS9100 Certification.
+ Maintain facility compliance to federal, state and local mandates with respect to environmental regulations and employee safety.
+ Build strong and open relationships with customers, OU and BU leaders, employees, and functional support groups.
Basic Qualifications:
+ Bachelor's degree in Engineering or Business and a minimum of 9 years related experience in manufacturing, engineering, and/or program management. 13 total years of direct, related experience will be considered in lieu of a BS degree. 7 years of relevant experience is required with a Masters degree; 4 years of relevant experience is required with a PhD.
+ Minimum of 5 years of production plant management or cross-functional leadership team experience.
+ Proven skills/experience building high performing cross-functional teams and culture to achieve.
+ operational excellence and meet the expectations of internal and external customers.
+ Ability to strengthen workforce skills in LEAN/Continuous Improvement experience and root cause.
+ problem solving.
+ Ability to formulate, communicate and execute complex project plans and initiatives.
+ Strong business and financial acumen, including: capital and talent budgeting and estimate-at-completion
+ (EAC) and Operations Review process understanding Excellent interpersonal and critical thinking skills.
+ Excellent verbal, written, and presentation skills with the ability to effectively communicate with all levels of leadership, shop floor employees, and support function employees.
+ Advanced knowledge of MS Office (Word, Excel & PowerPoint), Costpoint & Dynafact Manufacturing System.
+ Commitment to positive employee relations, ethics and compliance.
+ Understanding of AS9100/ISO 9001, Six Sigma and Advanced Product Quality Planning (APQP)
+ US Citizenship required.
**Salary Range:** $149,400 - $224,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Beltsville, MDR10150989MarylandMDSite Manufacturing Manager 3 (Value Stream Owner)None46B03D45A62C411CB672518708893816http://northropgrumman-veterans.jobs/46B03D45A62C411CB67251870889381623AuroraNorthrop GrummanUnited StatesUSA2024-03-29 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems, a division within Northrop Grumman's Space Systems Sector is known for pushing the boundaries of innovation, redefining engineering capabilities, and driving advances in various sciences through cutting-edge technology. Our team is chartered with providing the skills, and innovative technologies to develop, design, produce, and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
Northrop Grumman Space Systems is seeking a Maintenance Technician to join our team. This position would be based in the **Aurora, Colorado** area.
The successful candidate will be responsible for planning and coordinating first-class operations and maintenance efforts related to the Aurora, Colorado work site. The statement of work focuses on day-to-day building activities, in addition to administrative tasks, and safety functions to include operations, maintenance, and repair services.
The Maintenance Tech includes a wide range of exciting challenges in coordinating and scheduling the preventive maintenance required to keep the buildings in good order (including but not limited to, repairing central plant equipment, HVAC systems, irrigation, minor electrical and plumbing systems), performing repairs and maintenance in plant/office facilities, machines and equipment, and testing and troubleshooting machines and equipment.
This position requires a contractor management background with strong teamwork abilities, as well as effective oral and written communication skills. In addition, the role involves extensive knowledge and experience in the use of hand/power tools as well as a strong mechanical aptitude.
While performing the duties of the job, the successful candidate must accept accountability and ownership of the site and provide 24x7, 365 days per year on-call support. Additional responsibilities include maintaining/updating EHS and other inspection-related documentation on SharePoint, maintaining a presence on-site with the facilities team, supporting weather events, addressing equipment failure or other disasters (including, but not limited to, equipment and power failures, loss of contracted services, storms or severe weather, and schedule conflicts), ordering materials, tools parts and spares, and completing work orders/tickets promptly. Responsible for office reconfigurations, supporting employee moves, and general requests.
**This position requires being onsite and does not support remote or hybrid work.**
This requisition may be filled at either a level 3 or a level 4, depending on the candidate's experience as laid out in the basic qualifications detailed below as well as the interview assessment.
**Basic Qualifications**
+ Level 3
+ A minimum of a High School Diploma/equivalent plus 4 years of related building maintenance experience
+ Level 4
+ A minimum of a High School Diploma/equivalent plus 6 years of related building maintenance experience
+ **Must be able to obtain and maintain Top Secret DoD security clearance or higher** [US Citizenship required]
+ Must be able to make decisions with limited supervision
+ Proven ability to perform effectively in a team environment
+ Ability to provide ongoing technical support in facilities management
+ Ability to effectively manage multiple scheduling challenges and resource assignments as well as ongoing adjustments
+ Effective interpersonal and communication skills (written and oral)
+ Knowledge and experience with software applications including Microsoft Word and Excel
+ Ability to identify and implement necessary changes to internal processes
+ Familiarity and willingness to work in a secure environment
**Preferred Qualifications**
+ Current/Active DoD Top Secret SCI Security Clearance with SSBI or higher is preferred
+ Experience with Computerized Maintenance Management System (preferably Maintenance Connection)
+ Experience with Traditional Maintenance Methods and Practices, Facilities Operations and Assessments, and Audits
+ Possess general infrastructure knowledge in Structural Systems, Electrical & Mechanical Systems, HVAC-Climate Control, Plumbing Systems, Water Systems, OSHA & Compliance, Environmental & Regulatory Requirement, Facility Life-Cycle Processes, Predictive Maintenance, and RISK assessment
+ Experience with CAFM systems, such as Archibus, to manage space planning
+ Microsoft Project and PowerPoint
To better support our employee's work/life balance, most NG worksites support a 9/80 work schedule. The 9/80 schedule allows employees to work a nine-hour day Monday through Thursday and take every other Friday off. This schedule or other work-hour flexibility options can be determined upon hire.
With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on Earth every day, now and for the future. Explore your future and launch your career today. #definingpossible
**Salary Range:** $55,100 - $91,900
**Salary Range 2:** $65,600 - $109,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Aurora, COR10151040ColoradoCOMaintenance Technician (level 3 or 4)None4E8FDACB50BD44E699183BA2EE5773FBhttp://northropgrumman-veterans.jobs/4E8FDACB50BD44E699183BA2EE5773FB23CorinneNorthrop GrummanUnited StatesUSA2024-03-29 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrup Grumman Propulsion Systems is currently seeking an experienced **Machinist 2/3** to work in our Promontory, Utah Test and Research Operations (TRO) machine shop **.**
**Role description & Responsibilities:**
The Machinist is responsible for fabrication of various components and samples that meet design specifications. Operates various conventional and/or numerically controlled machining centers, lathes, and surface grinders on semi-complex jobs. Able to work from blueprints, sketches, planning sheets, verbal instructions, and/or engineering, tooling, or equipment information. Contributes to organizational initiatives to increase productivity and reduce costs. Works with limited guidance, in a team environment.
**Machinist 2 Experience Requirements:**
+ Prefer a 2-year technical degree and/or a certificate in machine shop technology.
+ Two years related machining experience. Able to work with guidance in a team environment.
**Machinist 3 Experience Requirements:**
+ A minimum of a 2 year technical degree and/or a certificate in machine shop technology.
+ Four years related machining experience. Able to work with limited guidance in a team environment.
**Job Duties:**
+ Perform manual and CNC machine operations (including reworking parts) such as turning, milling, forming, drilling, tapping, and reaming parts.
+ Familiarity with MasterCam or other CAM program for programming CNC machines.
+ Selects and adjusts cutting tools consistent with type and size of material being machined.
+ Follows established manufacturing methods using standard machines tools, materials, and tooling.
+ Adjusts feeds, speeds, and depth of cut to machine quality parts.
+ Operates hand and power tools to deburr precision and complex machined parts in accordance with semi-complicated engineering blueprints.
+ Inspects parts for conformance to specifications using measuring instruments such as gages, calipers, and micrometers. Visually inspects parts for burrs or damaged machined surfaces.
+ Routinely maintains equipment to ensure cleanliness, accuracy, and reliability.
+ Actively implements lean initiatives by identifying and providing suggestions on areas for improvement. Effectively applies lean concepts and tools in work area.
+ Observes all company policies and procedures, especially safety rules, and maintains a clean and orderly work area.
+ Experience working in a team environment, collaborating with group members in problem solving.
**Salary Range:** $41,000 - $68,400
**Salary Range 2:** $48,800 - $81,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Corinne, UTR10151148UtahUTMachinist 2/3None68991837A31640E6AC0E71786E0F0ED3http://northropgrumman-veterans.jobs/68991837A31640E6AC0E71786E0F0ED323AuroraNorthrop GrummanUnited StatesUSA2024-03-29 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Space Sector Mission Exploitation Operating Unit** is looking for a **Manufacturing Operations Manager 2** to oversee operations activities at the soon to be open Highfield Integration Facility.
The **Manufacturing Operations Manager 2** will have responsibility for up to 5 employees. The primary mission is to meet or exceed customer requirements by providing quality products on time and within program cost targets, while promoting a safe and successful integration and prototyping environment. **This position will initially be based out of the Aurora, CO campus and will move to the Highfield Integration Facility (Englewood, CO) within the first year of employment. This is a on-site position, remote work opportunities will be limited. Travel in support of this position will be limited (**
**Role Responsibilities:**
+ **Providing leadership and collaboration in areas such as: Technical Guidance, Process Development, Continuous Improvement, Capacity Planning, Capital & Facility Planning, Cost/ Benefit Analysis, Manpower Planning, Staffing, AS9100 and all auditable processes.**
+ **Managing annual budgetary responsibilities aligned with the Operating Unit manufacturing and integration efforts**
+ **Providing direction to employees utilizing management guidelines and general policies.**
+ **Driving employee efficiency and safety by developing and executing plans, policies and training.**
+ **Supporting the achievement of product cost and schedule targets.**
+ **Managing the manufacturing area to produce quality products, deconflicting competing requirements and providing resources and training as required.**
+ **Manage licensing, certifications, maintenance and utilization of manufacturing equipment, fleet vehicles, and tooling**
+ **Engaging employees to achieve their highest potential.**
**Basic Qualifications:**
+ **Bachelor's Degree with a minimum of 8 years of relevant professional experience; Master's Degree with a minimum of 6 years of relevant professional experience. An additional 4 years of relevant professional experience may be considered in lieu of a degree.**
+ **Relevant experience in engineering or manufacturing environments**
+ **Experience managing or leading people or projects**
+ **Demonstrated ability to effectively communicate with all levels of Manufacturing, Program, Engineering, Functional Support Management, and Professionals**
+ **Experience with resource planning and budgeting**
+ **Must be a U.S. Citizen with the ability to obtain and maintain a U.S. Top Secret/SCI Security Clearance (must be willing to take polygraph)**
**Preferred Qualifications:**
+ **6+ years of demonstrated successful people management experience - including experience managing organizations of 20 or more individuals**
+ **Manufacturing and process improvement experience**
+ **Active Top Secret/SCI security clearance**
+ **Hands-on experience developing and managing budgets with responsibility for cost performance**
**Salary Range:** **$119,800 - $179,600**
**The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.**
**Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.**
**The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.**
**Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.**Aurora, COR10153204ColoradoCOManufacturing Operations Manager 2None6E1CA316F2774FB587A7D7588C1129ABhttp://northropgrumman-veterans.jobs/6E1CA316F2774FB587A7D7588C1129AB23MesaNorthrop GrummanUnited StatesUSA2024-03-29 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman** is seeking a **Manufacturing Technician 2** for our location in **Mesa, AZ** .
The Mesa, Arizona location of Northrop Grumman's Defense Systems Division produces automatic medium caliber gun systems. Northrop Grumman gun systems built for the U.S. and international militaries have been used in all major conflicts since World War II in a variety of applications to include small and large ground vehicles, helicopters, airplanes and naval ships.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to deterring and defeating any adversary, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future . #NGSkills
**Position Description:**
Assembly operations of gun systems in a team or individual environment.
**Typical Duties but not limited to the following:**
+ Perform manufacturing assembly of subassemblies and top level assemblies for gun systems.
+ Conduct teardown, evaluate and reassembly of remanufactured products.
+ Follow detailed operating procedures and collect/record data as necessary.
+ Ability to multi-task.
+ Ability to interpret blueprints.
+ Ability to follow detailed operating procedures and work instructions.
+ High attention to detail.
**Basic Qualifications:**
+ High school diploma or GED equivalent.
+ 2 years of experience working on manufacturing assemblies.
+ Mechanical aptitude preferred.
+ Must be able to lift, push, pull and carry 40 lbs.
+ Able to start work a 4/10 (Mon-Thurs) 5 A.M. - 3:30 P.M. schedule, work overtime and some weekends if needed.
**Salary Range:** $42,000 - $70,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Mesa, AZR10154480ArizonaAZManufacturing Technician 2NoneDC5553AECBD44151A58C89CFA8D43202http://northropgrumman-veterans.jobs/DC5553AECBD44151A58C89CFA8D4320223ClearfieldNorthrop GrummanUnited StatesUSA2024-03-29 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
US CITIZENSHIP REQUIRED FOR THIS POSITION: Yes
RELOCATION ASSISTANCE: Relocation assistance may be available
CLEARANCE TYPE: None
WORK SHIFT: 2nd Shift
TRAVEL: Yes, 10% of the Time
REFERRAL BONUS ELIGIBLE: No
**Description**
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Northrop Grumman Aerostructures Business Unit (ASBU) has an opening for a **night shift** floor support **Associate Engineer Manufacturing / Engineer Manufacturing** to join our team of qualified, diverse individuals.
The position requires on-site support and will be located in **Clearfield, UT** at the Freeport Composites Center (FCC) within the Freeport Center. This position is in support of composite part manufacturing for the F-35 program.
The selected candidate will provide critical support in a production environment to help develop and maintain manufacturing processes, and procedures for composite aircraft parts and assemblies. Selected candidate will be primary technical point for the production team on the weekday night shift and will help solve production problems that come up. These responsibilities may include, but are not limited to:
+ Work closely with manufacturing personnel to tackle production issues in hand layup, automated fiber placement, autoclave cure, paint and assembly processes
+ Maintain and distribute daily shift issue logs, documenting both resolved and pending production issues for enhanced communication and transfers of information between shifts
+ Author, modify, and audit shop floor procedures and electronic work instructions (EWIs)
+ Perform root cause and corrective action (RCCA) activities to permanently eliminate defects
+ Troubleshoot production/equipment/program/tooling issues and implement robust improvements and solutions
+ Work with the quality engineering team to interpret drawings and specifications to process discrepant hardware.
+ Support improvement projects for various work cells to support the F-35 production line.
The ideal candidate is a self-starter seeking autonomy and possesses both strong written and verbal communication skills. He/she should enjoy collaborating with others of varying backgrounds and roles, including but not limited to Production, Maintenance, and Quality, in a fast-paced environment to solve time-sensitive problems. We are a tight-knit team, and we enjoy working together to reach our mutual goals.
**This position will support our B shift production (4/10 schedule).**
The hours for this position are Monday through Thursday 4:00 PM - 2:30 PM
This role can be filled at an "Associate Engineer Manufacturing" or "Engineer Manufacturing" level depending on the qualifications below.
**Basic Qualifications:**
**Associate Engineer Manufacturing**
+ Bachelors Degree in a STEM field (Science, Technology, Engineering or Math) and 0+ years of engineering work experience in a manufacturing environment
+ Ability to read and understand engineering drawings and specifications
+ Working knowledge of geometric dimensioning and tolerancing (GD&T)
**Engineer Manufacturing**
+ Bachelors Degree in a STEM field (Science, Technology, Engineering or Math) and 2+ years of engineering work experience in a manufacturing environment, or Masters Degree in a STEM field and 0 years of experience
+ Ability to read and understand engineering drawings and specifications
+ Working knowledge of geometric dimensioning and tolerancing (GD&T)
**Preferred Qualifications** :
+ Degree in Manufacturing, Aerospace, Mechanical, or Composite Engineering
+ Solid understanding of root cause / corrective action (RCCA) processes and techniques
+ Experience using RCCA tools such as Five Why, Pareto, fishbone, etc.
+ Knowledge of composite part manufacturing
+ Experience in computer assisted design software (CATIA preferred)
+ Experience with Process Failure Modes and Effects Analysis (PFMEA)
+ Experience drafting, maintaining, and modifying work instructions and/or process specifications
**Salary Range:** $56,600 - $85,000
**Salary Range 2:** $68,500 - $102,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10151079UtahUTAssociate Engineer Manufacturing/Engineer Manufacturing - NIGHT SHIFTNone1AFCA78D2DC84E80847D1BF8EABF86B7http://northropgrumman-veterans.jobs/1AFCA78D2DC84E80847D1BF8EABF86B723FairfaxNorthrop GrummanUnited StatesUSA2024-03-29 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
The Machinery Operating Unit is seeking an experienced **Principal/ Sr Principal Contract Administrator** . The position will report directly to the Manager of Contracts and will serve as the primary contact with our customer, administering a portfolio of mostly fixed-price production contracts supporting the US Navy. This position is responsible for all formal contract communications, and sustaining the contractual baseline to ensure favorable programmatic and financial performance. In addition to fixed-price production, the position will also occasionally include advancing product capability thru cost-type technology insertions.
This position is open to a full time remote employee. Come join our team! You will be a key contributor and empowered to make a difference!
**What You'll Get to Do:**
In this role, the selected candidate's responsibilities will include, but not be limited to:
Responsibilities:
+ Lead and coordinate the company response to customer Requests for Proposal (RFPs) to understand Statements of Work (SOW)/contract requirements and advise the program team on risk identification and mitigation strategies
+ Ensure company proposals are fully responsive to prospective customer requirements, prepare proposal submittal documents, and coordinate appropriate levels of internal review and approval. Coordinate and develop responses to fact-finding, evaluation notices, and requests for information (RFIs), to bolster priorities, strengthen support for the proposal, and also identify customer key items or concerns
+ Develop and execute negotiation strategy / plan to establish a framework to arrive at the most beneficial agreement possible between Northrop Grumman and the customer in the quickest timeframe possible
+ Maintain excellent working relationships with customers to effectively communicate, administer, modify, negotiate and terminate standard and nonstandard contractual agreements.
+ Oversee contract performance including schedules and deliverables and complete actions to ensure satisfactory program performance
+ Advise program teams of contractual rights and obligations, including interpretation of contract terms and conditions
+ Maintain and update contract documents and official program records, including contractual documents and correspondence
+ Provide direct contract support, review contractual documents to ensure protection of company's contractual/risk posture, satisfaction of contractual requirements and adherence to company policies.
+ Research contract issues and prepare analysis with citations
+ Work cooperatively with the technical staff to address customer requests
**Basic Qualifications:**
To qualify for the Senior Principal Contract Administrator:
+ Minimum of 10 years of experience with a B.S. degree in a Business, Contracts, IT, or related field or a minimum of 8 years of experience with a Masters degree
To qualify for the Principal Contract Administrator position:
+ Minimum of 6 years of experience with a B.S. degree in a Business, Contracts, IT, or related field or a minimum of 4 years of experience with a Masters degree
+ To qualify for both levels
+ At least 3 years' experience in a Business Management function including Contracts, Pricing & Estimating, ITC, or Government Property
+ Proficiency in MS Office suite of tools - Excel, Word, PowerPoint, Teams
+ Ability to work independently with limited supervision
+ Detail oriented, analytical mindset
+ Desire to innovate or pioneer new solutions for the organization
+ Strong communication skills - written and oral
**Preferred Qualifications:**
+ Understanding/knowledge of the SAP Sales & Distribution Module
+ Support On-Time Delivery Metrics and interfacing with Program Teams to support/present reports to Senior Management and DCMA
+ Tableau, Power BI, or other visual analytics platform experience
+ Experience working with DCMA
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $80,600 - $121,000
**Salary Range 2:** $99,900 - $149,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fairfax, VAR10151186VirginiaVAPrincipal / Sr Principal Contract AdministratorNone5DE5E52BE75A4453B2215C3FB18E2AD6http://northropgrumman-veterans.jobs/5DE5E52BE75A4453B2215C3FB18E2AD623FairfaxNorthrop GrummanUnited StatesUSA2024-03-29 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
We are looking for you to join our team as an **Associate Business Management Analyst in hybrid position** based out of **Fairfax, VA** .
**This candidate must be available to come onsite a minimum of 3 days per week. ( chosen days are Mon, Tues & Wed)**
**What You'll get to Do:**
Ensure accurate and timely preparation, reconciliation, and submission of customer Billings (Cost Type, time and Material, Firm Fixed Price, Progress payments, Milestones & Performance Based Payments, Shippers) and Intercompany Accounting Transfers, in support of NGMS Business Sector contracts.
Works collaboratively with our internal PCA & Program customers to ensure checklists and required supporting documentation and received in a timely manner and are reviewed in detailed to confirm completeness.
Communicates effectively and frequently with Billing Leads and team members as to status and any known issues or delays.
Assists with preparation, analysis and reconciliations related to issuing Final Invoices.
Supports internal and external customers requests regarding billing and related issues.
Supports DCAA billing audit inquiries, internal and external financial audits.
Completes special projects as needed.
**Basic Qualifications:**
+ Bachelor's degree in Business Administration (Accounting, Data Analytics, Economics, Finance, Management, Marketing, etc.) or Business adjacent degree (Mathematics, Risk Management) from an accredited institution.
+ 1+ year of relevant work experience or 3+ years of relevant experience in lieu of degree
+ Proficiency in MS Office Suite, specifically a high level of proficiency in Microsoft Excel (pivot tables, VLOOKUP, etc.)
+ US citizenship is required.
+ Ability to obtain and maintain a DoD security clearance.
**Preferred Qualifications:**
+ Professional experience in a regulated industry such as Aerospace & Defense, Insurance, Financial Services, or Telecommunications
+ SAP software tool experience
+ Prior billing and/or accounting experience
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fairfax, VAR10154356VirginiaVAAssociate Business Management AnalystNoneA64FCAF18A9449C68CE30532403D5179http://northropgrumman-veterans.jobs/A64FCAF18A9449C68CE30532403D517923DullesNorthrop GrummanUnited StatesUSA2024-03-29 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
An internship at Northrop Grumman is unique. Sure, you'll learn new skills, explore our enterprise, network with experts, connect with thought leaders, and finish with a resume that opens doors. But you'll gain something even more valuable: pride in what you've done. Join us and launch your career. We'll support you with training, employee resource groups, and our shared vision of global security.
Northrop Grumman is seeking a Construction Engineer / Architect (Space planning) to join the Space Systems Intern Program. This position will be located in Dulles, VA/ Gilbert, AZ.
In this role, you will be part of a team responsible for taking projects from conceptual stages through closeout. This will involve learning about many aspects of project management which include defining requirements, estimating costs, manage schedule, practice safety and quality control methods, submittal/RFI and change order document review, maintaining good public relations, and other tasks as required for standard construction management techniques and procedures.
Responsibilities:
• Assist Planning Team with various activities for onsite facility and design projects.
• Monitor design projects and track daily Planning and activities.
• Facilitate and maintain the process to create typical specs for design projects.
• Document project coordination meetings for distribution.
• Understand company policies and procedures.
• Assist Planning team with coordination activities with furniture vendors.
• Participate in trainings, safety lessons, task team meetings, etc.
**Basic Qualifications:**
**A candidate, regardless of age and hiring source, must meet ALL of the below criteria. The candidate must:**
+ Be enrolled full-time and pursuing an undergraduate or graduate degree from an accredited college/university AND graduating after August 2024.
+ Be majoring in a STEM program
+ Be available to work full-time (40 hours per week) for at least 10 weeks during summer 2024
+ Be able to obtain and maintain a U.S. Government security clearance (U.S. citizenship is a pre-requisite)
**Preferred Qualifications:**
+ Have an overall cumulative GPA of 3.7 out of 4.0 or higher
+ Prior internship experience related to furniture and workspace design in large facilities.
**Intern Pay Range for Dulles, VA**
Bachelor's: $24.27 to $30.50 per hour
Master's: $36.25 to $38.25 per hour
**Intern Pay Range for Gilbert, AZ**
Bachelor's: $21.50 to $26.25 per hour
Master's: $31.25 to $33.00 per hour
At Northrop Grumman our internship pay rates are standardized and take into account three main factors: the anticipated graduation date of the individual, the cost of labor of the geographic location, and whether the internship is considered technical or administrative.
Campusjobs
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Dulles, VAR10146260VirginiaVA2024 Construction Engineering Intern Gilbert AZNoneC9100AFE7A214835899CB6FC72519F02http://northropgrumman-veterans.jobs/C9100AFE7A214835899CB6FC72519F0223Schriever AFBNorthrop GrummanUnited StatesUSA2024-03-29 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems-Launch and Missile Defense Systems presents a unique and impactful career opportunity for a Staff Software Development Analyst - O&M CI/CD/Staging SME. Join our team of diverse individuals and contribute to the Command and Control, Battle Management, and Communications (C2BMC) program, a vital operational system that enables strategic, regional, and operational level planning of ballistic missile defense operations. This position will be at the Schriever Space Force Base, Colorado Springs, CO.
**Position Overview:**
The Command and Control, Battle Management, and Communications (C2BMC) program integrates the Missile Defense System. It is a vital operational system that enables the U.S. president, secretary of defense, and combatant commanders at strategic, regional, and operational levels to systematically plan ballistic missile defense operations, to see the battle develop collectively, and to dynamically manage designated networked sensors and weapons systems to achieve global and regional mission objectives. C2BMC supports a layered missile defense capability that enables an optimized response to threats of all ranges in all phases of flight. C2BMC is the force multiplier globally and regionally. Networks integrate and synchronize autonomous sensor and weapon systems and operations to optimize performance. C2BMC is integral to all system ground and flight tests, which verify and exercise all current and future missile defense system capabilities.
The selected candidate will have strong leadership and communication skills. Will work with teams of diverse engineers across multiple functional organizations in a geographically spread project. Will understand the relationships of Operational Feedback and be a catalyst of change for CI/CD while adhering to cost, technical, schedule management, and final acceptance testing at the fielded sites.
The position requires working with and leading a diverse personnel group. The position reports directly to the CCC Lead in TO02. We will provide continuous integration (CI) for push, test, and fix and how that works, as well as continuous delivery (CD) aspects in dealing with frequent and continuous patching. This position is responsible for coordinating closely across other elements of the C2BMC National Team, as well as the government counterparts and leadership at the Missile Defense Agency (MDA).
**Essential Functions:**
+ Thoroughly understand all Continuous Integration / Continuous Development (CI/CD) Lifecycle phases.
+ Well-versed with JIRA and associated tools like Confluence, Nexus Server, Ansible Tower, Jenkins CI/CD Orchestrator, GitLab, and VMWARE.
+ Will have knowledge and experience of DoD/MDA Operations and Test methodologies and terminology; troubleshooting of small to large-scale, highly redundant network infrastructure; integration plans/procedure generation/implementation, Integration Analysis; script development; presentation of technical data; ADSI system operations and functionality; GMD, SBIRS, Aegis, AN/TPY-2 element integration and test with C2BMC.
+ Self-starter can multitask effectively and lead engineers from multiple Agile Release Trains (ARTs) regarding operational situations with minimal supervision.
+ Accomplish assigned tasks and products within defined cost, schedule, and performance constraints for the Task Orders supported (Mainly TO02 but other TOs such as TO38, TO04, and TO60).
+ Responsible for working with the CCC Training lead to assist in Operating Instruction Development, Training Development, and other Operations Support Tasks.
+ Manage global deployment of software-intensive military systems.
+ Experience with C2BMC Agile Development and Deployment.
+ Implementation of all phases of the Agile Development approach from tooth to tail. These phases are development to integration, testing to staging, and ultimately, deployment into the fielded operational C2BMC system.
+ Creating, explaining, and managing complex project schedules.
+ Will communicate with senior Program Customers.
+ Possesses the ability to understand and plot the operational system Activation activities within scope and budget.
+ Lead the effort to establish an OPS staging area and multiple activities, such as deploying Spiral 8.2.X and System Patching to all C2BMC CONUS AND OCUNUS locations.
**Basic Qualifications:**
Please note your updated security clearance and IAT/relevant certifications on your resume if applicable.
+ Bachelor's Degree in a STEM (Science, Technology, Engineering or Mathematics) discipline preferred from an accredited university and 14 years of related experience, or a Master's Degree in a STEM discipline and 12 years of related experience, or a PhD and 9 years of related experience, or 18 years related experience in lieu of a degree.
+ Must possess a DoD 8140 certification at IAT Level II or higher (Security+, GSEC, SCNP, SSCP, CISSP, CISA, GSE, SCNA)
+ Ability and willingness to travel 10% to support business needs.
+ Applicants must have a current active in-scope U.S. Government DoD issued Top Secret security clearance which is required to start.
**Preferred Qualifications:**
+ The position usually is Monday through Friday but may require on-call, evening, and weekend support during system anomalies and tests.
+ Experience with C2BMC National Team and MDA/BC engineering review and approval processes.
NGSpace
COSpace
NGFeaturedJobs
C2BMC
**Salary Range:** $150,000 - $225,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Schriever Afb, COR10154788ColoradoCOStaff Software Development Analyst - O&M CI/CD/Staging SME (24-031)None0AA2B7A4DB614C95B289AC93686070A0http://northropgrumman-veterans.jobs/0AA2B7A4DB614C95B289AC93686070A023LinthicumNorthrop GrummanUnited StatesUSA2024-03-29 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems sector is looking to hire a Business Development/Export Representative to support our Airborne Battle Management Systems Unit, located in Linthicum, MD.
**Business Development/Export Representative|A02**
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
**This is a role located in Linthicum, MD with a current requirement to be in office at least 2 days per week.**
**What You'll get to Do:**
+ Manage internal program export controls to assist the ABMS Programs and business development initiatives to comply with U.S. (ITAR and EAR) and foreign laws and regulations that govern export in the conduct of international marketing/strategy, sales, global supply chain, and other business activities in a dynamic, fast-paced environment.
+ Establish and enhances program processes for submitting to and obtaining from the Northrop Grumman International Trade Compliance team all necessary export and import licenses, permits, certifications, DSEAs, and technology release determinations to meet associated project goals/milestones throughout the entire program lifecycle, ensuring successful delivery within scope and timeline constraints.
+ Assure that all program transactions and key messaging and associated materials comply with government regulations and internal procedures by conducting gate reviews for technical data, foreign travel, foreign visits, and export and import shipments.
+ Facilitate commodity jurisdiction and classification determination; and making determinations regarding applicability of exceptions and exemptions.
+ Maintain applicable program compliance records in accordance with regulatory requirements and internal procedures.
+ Participate in training and audit assessment programs to ensure implementation and effectiveness of internal controls. Supports law department in investigating and resolving compliance problems, questions, or complaints.
+ Exercise good judgment to seek management support and guidance as needed, including collaborating on decisions that have the potential to be high visibility or impact.
+ Develop and delivers executive-level presentations, including Export General Awareness training, program specific export training, and program/capture export licensing strategy updates to OU Leadership.
+ Add to a culture of continuous improvement, innovation, and excellence within the ABMS organization, complimenting a high-performing team.
**Basic Qualifications:**
+ Bachelor's degree and 3 years of relevant experience OR Master's degree plus 1-year relevant experience.
+ Working knowledge of the International Traffic in Arms Regulations (ITAR) and Export Administration Regulations (EAR)
+ Excellent interpersonal and communication (written and verbal) skills.
+ Demonstrate a track record of paying close attention to detail.
+ US citizen with ability to obtain and maintain a Department of Defense (DOD) Secret Security Clearance
+ Proficient in Microsoft Office Products (Word, Excel, PowerPoint)
**Preferred Qualifications:**
+ Experience with use of/authorizing ITAR exemptions and EAR exceptions.
+ Experienced and comfortable providing training in person or via virtual methods.
+ Ability to participate in corporate-wide working groups for new procedures, regulatory changes, etc.
+ Able to learn and use new web-based applications including workflows and databases.
+ Experience with making Jurisdiction and Classification determinations.
+ Experience drafting and submitting export licenses and agreements, interpreting, and providing guidance on U.S. ITAR and EAR regulations for the licensing of commodities, technical data, and defense services.
**Salary Range:** $83,300 - $124,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10154520MarylandMDBusiness Development/Export RepresentativeNone6D6D188670C8467EB0468559DA8A8847http://northropgrumman-veterans.jobs/6D6D188670C8467EB0468559DA8A884723PalmdaleNorthrop GrummanUnited StatesUSA2024-03-29 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Northrop Grumman's Flight Test Team in Palmdale, CA is looking to for an experienced Functional Support Admin 4 to support the Test and Evaluation Organization.
What you'll get to do:
Provide administrative support to the Director of Palmdale Ground & Flight Test and Department Managers.
Review drafts and finished documents for appropriate grammatical usage.
Properly handle high-level and confidential/proprietary information.
Daily support of various tasks provided by the leadership team.
Tasks include Concur travel booking, expense reports, outlook calendar meeting coordination and planning.
Coordinate and track training certifications for the Test Center.
Maintain departmental org chart.
Track progress of and ensure management accountability of brief flow-downs.
Create power point presentations and excel files as needed.
Maintain action items and notes during meetings.
Organize and reserve conference rooms, plan beverage and meals as needed.
Run the computer and agenda for daily staff meetings as necessary and other leadership level meetings.
Maintain building office supplies and any supplies the leadership team needs to complete their daily tasks.
Assist as needed with new employee onboarding.
Answers questions relating to office operations and established policies and procedures.
Coordinate large and high-level meetings.
Maintain records and attendance for our SAFE team.
**Basic Qualifications:**
+ Requires a High School Diploma or GED equivalent and 6 years additional education and/or experience.
+ Must have an active DoD Secret Clearance.
+ Must have ability to obtain and maintain Program Access (PAR) within a reasonable period of time, as determined by the company to meet its business needs.
+ Must have basic-level proficiency with MS Office tools including Outlook, PowerPoint, Excel and Word.
+ Ability to and experience with creating power point presentations and excel files as needed.
+ Experience maintaining action items and notes during meetings required.
+ Requires ability to organize and reserve conference rooms, plan beverage and meals as needed.
**Preferred Qualifications:**
+ Expert proficiency with MS Office tools - including word, PowerPoint, and Excel.
+ Experience working with travel agencies and/or Concur travel booking and submitting expense reports.
+ Outlook calendar meeting coordination and planning
+ Experience with purchasing tools (iBuy, SAP, or similar)
+ Excellent communication, interpersonal skills, and the ability to interface with all levels of employees and management.
**Mental and Physical Qualifications:**
+ Able to relay and receive information.Able to collaborate in a team environment.
+ Ability to prioritize and adjust tasks to accomplish the project result.
+ Ability to make decisions which have impact on the organization's credibility, operations and services.
+ Able to perform work in an approved environment during specified work hours.
+ Ability to frequently move and position objects weighing up to 10 pounds without assistance.
+ Able to change physical locations based upon need.
**Salary Range:** $63,800 - $106,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10154854CaliforniaCAAdministrative Functional Support 4 DoD Secret Required (Palmdale CA)None90AE2561F7D44306962162E8BAB6C4DChttp://northropgrumman-veterans.jobs/90AE2561F7D44306962162E8BAB6C4DC23RichmondNorthrop GrummanAustraliaAUS2024-03-29 06:15:40You might know that our Mission is to solve our customers' most challenging problems across Space, Aeronautics and Mission critical systems to help safeguard Australia's future. What makes us really different, though, is that as part of one of the World's largest Defence organisations, we have access to capabilities and technology most can only imagine. And, here in Australia, we're growing so there are plenty of opportunities especially for those just starting out in their careers and looking for experience in a friendly, supportive and challenging environment. Here, everyone contributes and everyone matters!
Northrop Grumman Australia partners with the Royal Australian Air Force to provide through-life support for its fleet of **C-27J** Spartan Airlifter aircraft. The C-27J complements the Australian Defence Force's existing Air Mobility fleet and provides airlift of people, equipment and supplies to support Humanitarian Disaster Relief and crisis response in Australia and nearby regions.
**Your Role:**
Your primary responsibility will be to meticulously plan and coordinate maintenance activities for our diverse fleet. You'll collaborate with various departments to develop comprehensive maintenance schedules, ensuring optimal operational efficiency. This role demands a keen eye for detail as you assess maintenance needs, allocate resources, and implement strategies to minimize downtime.
As a crucial member of our team, you'll be tasked with continuously improving maintenance processes, identifying opportunities for efficiency gains and implementing best practices. Your role extends beyond routine planning; you'll also play a vital part in troubleshooting and resolving scheduling conflicts to maintain the integrity of our fleet operations.
**About You:**
You are a self-motivated individual with a passion for precision and a knack for independent problem-solving. Your experience in maintenance scheduling, coupled with a proven ability to develop and manage project schedules, will set you apart. The ideal candidate thrives in a dynamic environment, adapting quickly to evolving priorities while maintaining a focus on quality and accuracy.
An additional bonus will be your experience within the defence industry or the aviation sector or you may have held a similar role within, rail, transport or civil construction. Your familiarity with the unique challenges and requirements of these sectors will be an asset as you contribute to the success of our fleet maintenance planning team.
Join us and be part of a collaborative environment where your skills contribute to the reliability and longevity of our fleet.
**What we Offer:**
Whatever your role, life stage or background, you can shape your career here in your way with highly challenging work, great colleagues and career development, plus a range of flexible benefits and working patterns.
+ Professional Development - further education, leadership development, professional industry memberships and unlimited access to a range of online training
+ Purchased annual leave
+ Salary packaging including novated car leases
+ Generous paid parental leave
+ Volunteer Leave
+ Health & Wellbeing program
+ Employee Assistance Program
**Everyone Matters:**
Doing the Right Thing and Sharing Success are two values underpinning how we behave at Northrop Grumman. Here, everyone matters and we proudly encourage individuals from all backgrounds and all abilities to apply to join our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.
**As a Defence security clearance is required for this role, you must be an Australian Citizen. International Traffic in Arms Regulations (ITAR) are applicable, as such your nationality may be a factor in determining your suitability for this role. You will also need to satisfy police checks and employment screening.**
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.Richmond, AUSR10137909Fleet/Maintenance PlannerNone35ED4C62D67B45C09683CF4F7331D6B3http://northropgrumman-veterans.jobs/35ED4C62D67B45C09683CF4F7331D6B323CharlottesvilleNorthrop GrummanUnited StatesUSA2024-03-29 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Charlottesville, VA Operations team is seeking a candidate to lead and manage our shop floor program planning organization. The successful candidate will be well organized, a strong communicator and team leader, and able to adapt to constantly changing demands.
Key Responsibilities:
+ Leads the team of program planners, creating an inclusive and learning based culture
+ Supports the development and implementation of executable program manufacturing plans and strategies, achieving 100% on-time completion
+ Develops and Implements planning strategies to optimize facility and personnel resources across throughout all manufacturing areas
+ Coordinates with multiple stakeholders to ensure successful execution of program schedules
+ Monitors and evaluates program plan execution - helps identify early indicators of problems and supports team member in the development and implementation of return to green strategies
+ Holds team accountable for behaviors and performance
+ Effectively communicate plans, issues, needs to appropriate stakeholders
Key Skills:
+ Effective communicator (email, presentations, verbal skills)
+ Strong project management skills
+ Proficiency in program planning software and tools
+ Proficiency with Earned-Value Management fundamentals
+ Demonstrated leadership skills
**Basic Qualification**
+ Bachelor's Degree with at least 5 years of experience in Production Control or Material Requirements Planning, project management, logistics and sustainment, proposals, procurement, resource planning or accounting, OR a Master's Degree with at least 3 years of experience in Production Control, supply chain management, Material Requirements Planning project management, sustainment, proposals, procurement, resource planning or accounting OR High School Diploma/Equivalent with at least 9 years of experience in supply chain management, project management, sustainment, proposals, procurement, resource planning or accounting
+ Must have a minimum of 1 year experience leading a team, process, and/or projects.
+ Must be eligible to obtain a secret clearance and be a US citizen
+ Proficient in MSOffice
**Preferred Qualifications**
+ 5 or more years of manufacturing experience
+ U.S Secret Level Clearance
+ SAP / MES or Costpoint ERP experience
+ SQL, Power BI, or Tableau, GOLD experience
+ Scheduling experience (Microsoft Project, Open Plan)
**Salary Range:** $82,100 - $123,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Charlottesville, VAR10154504VirginiaVAManager Production Planning Scheduling 1NoneAFD05E735BA74BCF95887CA7A9C51AD6http://northropgrumman-veterans.jobs/AFD05E735BA74BCF95887CA7A9C51AD623ClearfieldNorthrop GrummanUnited StatesUSA2024-03-29 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has openings for **Associate** **Production/Production Planner Level 1/2** to join our team of qualified, diverse individuals. The selected candidates will support manufacturing planning related to aircraft manufacturing (composite, metallic, and assembly). The shift is **Friday, Saturday & Sunday from 6:00pm - 6:00am** . This position is located in **Clearfield, Utah.** Want to work on the cutting edge of aerospace? Come join us!
**Responsibilities:**
+ Plans sequence of fabrication, assembly, installation, and other manufacturing operations relating to specific portion of the product for guidance of production workers
+ Plans detail operations from blueprints, engineering orders, change notices, and other engineering releases.
+ Determines the need for tooling and makes recommendations for the design and planning of required tools.
+ Prepares shop work orders for materials and product processing and coordinates with schedulers to ensure that items are being processed according to specifications and time requirements.
+ Maintains progress reports, schedules, and other related records.
**Basic Qualifications:**
**Associate Production Planner Level 1**
+ High School diploma or equivalent and 0 years of experience
+ Active DoD Secret Clearance.
+ Ability to work D-shift (Friday, Saturday & Monday from: 6:00pm - 6:00am).
**Basic Qualifications:**
**Production Planner Level 2**
+ High School diploma or equivalent and 2 years additional education and/or experience in Manufacturing, Production, Planning, Aerospace or Aeronautics.
+ Active DoD Secret Clearance.
+ Ability to work D-shift (Friday, Saturday & Monday from: 6:00pm - 6:00am).
**Preferred Qualifications:**
+ Experience authoring manufacturing procedures.
+ Special Access Program clearance.
+ Pursuing Bachelors STEM Degree.
+ Experience in working with aerospace specifications and drawings.
+ Experience working in an aerospace manufacturing environment.
+ Experience creating and maintaining MBOMs.
+ Experience with Microsoft Office applications.
+ Experience in the areas of composite hand layup, bonding, cure, fastened assembly, subsystems installation, metallic hardware or machining experience.
+ Functional knowledge of production control systems (SAP/Visual Mfg/etc)
+ Preference will be given to individuals already accessed to Special Access Programs
+ Experience in the use of CAD software
**What We Offer:**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer exceptional benefits/healthcare, a great 401K matching program, and 14 Employee Resource Groups inclusive of all employees!
**Salary Range:** $38,600 - $64,300
**Salary Range 2:** $45,800 - $76,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10154696UtahUTAssociate Production/Production Planner Level 1/2 (Weekend Shift) (D-Shift)NoneB07393DE58344FAFA871B6354ABA00DEhttp://northropgrumman-veterans.jobs/B07393DE58344FAFA871B6354ABA00DE23ClearfieldNorthrop GrummanUnited StatesUSA2024-03-29 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history. Northrop Grumman Aerospace Systems designs, builds and delivers space, defense and aviation-related systems to customers around the world. Our main products include; satellites and associated space components and services; military and commercial aircraft and advanced aerospace structures.
**Northrop Grumman is currently seeking experienced Composite Technicians for our R&D Engineering Team in Clearfield & Magna (HTS), UT locations with emphasis on lay-up and assembly of composite parts, complex, high temperature fibers and resin along with core assembly structures to support current development and production efforts.**
**Job Duties:**
+ Participate in the safe execution of tasks required in support of research and development and production for commercial, military and classified programs as the demand requires
+ Maintain inventory (tooling, equipment, NEI material, direct materials)
+ Use of chemicals with proper PPE
+ Material preparation (storage, thawing, cutting, inventory, traceability, etc.)
+ TC weld & verify / Vacuum port assembly / Vacuum leak check
+ Solid lamination / Bagging / Oven and A/C Cure
+ Sandwich panel construct / Bag / Cure (perimeter dams or tapered core)
+ Film adhesive and paste adhesive bonding
+ Perform SMI fundamentals
+ Trimming composites
+ Execute work orders / Project assignments
+ Track individual metrics, maintain notebook, capture lessons learned and undocumented process details
+ Build pathfinder samples / tests / feasibility articles / prototype development with Engineering & Senior Tech oversight
+ Participate in process readiness reviews for new programs
+ Take part in corrective actions and process improvements
+ Take part in cost improvement initiatives
**Basic Requirements:**
+ Able to obtain and maintain a secret security clearance
+ Minimum of 4 years of composites, aerospace, or manufacturing experience
+ Familiar with composite process technologies (i.e. bagging, lamination, bonding, etc.)
+ Able to communicate concepts, ideas and procedural steps to others in a clear, concise and effective manner
+ Proficient in Microsoft Suite (Word, Excel, PowerPoint)
+ Able to operate power equipment (i.e. machines, cranes, forklifts)
+ Able to follow procedures and make buys
+ Must have Hands-on / Mechanical aptitude
+ Innate problem solving skills
+ Willing to work unconventional shifts occasionally to complete assignments
**Education/Certifications:**
+ High School Diploma or equivalent GED required (education will be verified)
**Preferred Skills and Qualifications:**
+ Able to read and interpret Engineering models / drawings
+ Familiar with composite material & manufacturing technology of hand lay-up and cure, machining of composite components and assemblies (including bonded and fastened assembly methods)
**Competencies for Success:**
+ Positive individual who is willing to expand current skill set, through schooling and training
+ Strong interpersonal communication skills with ability to work with others at all levels of the organization
+ Able to deal effectively with stress and conflict
+ Strong attention to detail and accuracy
+ Ability to multitask and prioritize
+ Ability to work in a dynamic, fast paced, diverse environment
+ Desire to work in a "team first" environment
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.
**Salary Range:** $45,800 - $76,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10148382UtahUTR&D Engineering TechnicianNoneC5E0795BE33449EC9D8E2B1EA53E7358http://northropgrumman-veterans.jobs/C5E0795BE33449EC9D8E2B1EA53E735823Unknown CityNorthrop GrummanUnited StatesUSA2024-03-29 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking **Senior Principal Scrum Master - 12760.** This position will be located in **Telecommuter** and will support the the Strategic Deterrence Systems (SDS) Division.
The candidate will be responsible for providing oversight of the Agile framework to ensure operational excellence within SDS as a Scrum Master. The candidate will provide guidance and help educate the team in Scrum, Kanban, and Scaled Agile framework (SAFe) practices. Additional responsibilities include helping remove impediments and fostering an environment for high-performing team dynamics, continuous flow, and relentless improvement.
This role will also:
• Foster a working environment which reinforces and drives accountability to fundamental Northrop Grumman Values focused on integrity, quality, shared success, and innovation.
• Coach, support, and motivate team members in agile methodologies to ensure a high level of performance
• Facilitate Program Increment (PI) planning and support iteration execution
**Position Benefits:**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package.
**Job Qualifications:**
**You'll Bring These Qualifications:**
+ **Sr. Principal Scrum Master:** 09 Years with Bachelor's degree; 07 Years with Masters; 04 Years with PhD; or 04 additional years of relevant experience in lieu of a degree.
+ US Citizenship and ability to obtain DoD Secret clearance.
+ At least 3 years Scrum Master experience for a high-performing agile team.
+ At least 1 year experience as Scrum Master for multiple teams.
+ At least 1 year experience with collaboration tools such as Confluence, Teams, and SharePoint.
+ At least 1 year experience with Jira.
+ SAFe Certified Scrum Master.
+ Proven experience in process improvement and/or Lean practices.
**These Qualifications Would be Nice to Have:**
+ At least 1 year experience using Scaled Agile Framework (SAFe).
+ Project Management Certification or Education.
\#GBSDsoftware
**Salary Range:** $121,000 - $181,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Unknown City, UTR10154824UtahUTSentinel Senior Principal Scrum Master - 12760NoneD74DF4B9649246F9BB386D6C1982BE65http://northropgrumman-veterans.jobs/D74DF4B9649246F9BB386D6C1982BE6523Colorado SpringsNorthrop GrummanUnited StatesUSA2024-03-29 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems, a division within Northrop Grumman's Space Systems Sector is known for pushing the boundaries of innovation, redefining engineering capabilities, and driving advances in various sciences through cutting edge technology. Our team is chartered with providing the skills, and innovative technologies to develop, design, produce, and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
**Northrop Grumman Space Systems** is seeking a **Principal Program Integrator** or **Sr. Principal Program Integrator** to be a member of the PI team at **Schriever AFB, CO.**
T he Program Integrator will be responsible for satellite program integration with multiplemission systems and serve as the primary point-of-contact for internal and external customers. Integration activities include requirements identification, launch and early orbit, vehicle disposal, CONOP development, mission analysis, and on-console operations. Our ideal candidate will also provide program expertise on engineering subsystems and have an active role in shaping the basis of how to execute planning and operations.
**Job responsibilities will include, but not be limited to, the following:**
**Primary Responsibilities:**
+ Perform and lead integration activities for spacecraft and ground systems, ensuring command and control.
+ Troubleshoot spacecraft and ground system issues. Document system issues and opportunities for improvement.
+ Evaluates, refines, integrates, and validates Program requirements, determines CONOPS and ensures systems and operational techniques meet Program needs.
+ Advocates for operations requirements with system engineering and ensures engineering implementations meet operational needs.
+ Prioritizes engineering requirements and ensures staged implementation of solutions meets Program timelines.
+ Provides satellite operations expertise to a broad array of engineering, development, integration, and strategic initiatives.
+ Provide for the planning, preparation, execution, and evaluation for satellite and launch vehicle rehearsals, exercises, and compatibility tests.
+ Prepares and reviews operational, anomaly, contingency, and training support procedures.
+ Performs operations as a mission planner or satellite systems operator in support of testing and real time operations during acquisition, launch and early orbit, on-orbit, and disposal phases of flight.
+ Performs as a mission analyst for mission pre-pass planning and scheduling.
+ Reviews data and historical files and logs of operational supports for investigation of operational issues
+ Develops day-of-launch, on-orbit, and disposal CONOPS for assigned spacecraft missions.
+ Leads discussions and work in a dynamic team environment that includes spacecraft and launch vehicle manufacturers.
+ Provide guidance and insight for internal process improvement initiatives to a team of varied level engineers.
+ Create and follow standardized processes and clearly document results for post flight analysis to external customers.
+ Identify, track, and achieve multiple milestones spread across various calendars and schedules.
+ Achieve and maintain certification in ground system/spacecraft operations. Certification will be maintained as a requirement to provide surge support to operations, and on-console support to testing, launch, and on-orbit operations.
+ Performs other duties as assigned.
This position requires being on-site and does not support remote or hybrid work.
This requisition may be filled at either a level 03 or a level 04, depending on the candidate's experience as laid out in the basic qualifications detailed below as well as the interview assessment.
**Basic Qualifications:**
+ **Must possess an ACTIVE/CURRENT Top Secret/SCI clearance with adjudicated CI polygraph to be considered.**
+ **Level 3:** Bachelor's in a STEM (Science, Technology, Engineering, or Mathematics) discipline and 5 years of experience, or Masters and 3 years,
+ **Level 4** : Bachelor's in a STEM (Science, Technology, Engineering, or Mathematics) discipline and 9 years of experience, or Masters and 7 years,
+ Robust knowledge of spacecraft flight operations and ground systems utilized for command and control.
+ Knowledge of systems engineering processes, system verification validation and test (VV&T), requirements interpretation.
+ Demonstrable skills in both written/oral communications, including the ability to understand/explain technical concepts in briefing and working group formats, including the ability to tailor delivery to those with differing knowledge levels or backgrounds.
+ Ability to efficiently and independently multi-task in a demanding and deadline-driven environment.
+ Self-motivated and able to complete tasks with minimal oversight.
+ Able to maintain crew certification.
+ Able to occasionally work abnormal hours to support spacecraft testing and launch operations.
+ Able to occasionally travel to CONUS locations.
**Preferred Qualifications**
+ Experience with ground system and satellite command and control theories and methodologies.
+ Experience with satellite communications (SATCOM) network and RF topologies.
+ Experience working with Collaborative Task Management tools such as JIRA and Confluence.
+ Experience executing systems engineering processes and participating in system verification validation and test (VV&T) activities.
+ Experience with spacecraft flight operations and/or spacecraft ground systems operations.
+ Experience translating customer needs into system requirements.
+ Working knowledge of orbital mechanics.
+ Ground Systems Engineering or Spacecraft Vehicle Engineering experience.
**Salary Range:** $97,500 - $146,300
**Salary Range 2:** $121,000 - $181,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Colorado Springs, COR10151027ColoradoCOPrincipal / Sr. Principal Ground Systems and Spacecraft Program Integrator (Active TS/SCI with Polygraph Required)- Schriever SFBNone0AAA8468D3844E8E84724670E1EFCA19http://northropgrumman-veterans.jobs/0AAA8468D3844E8E84724670E1EFCA1923NorthridgeNorthrop GrummanUnited StatesUSA2024-03-29 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Job Summary**
Northrop Grumman Defense Systems is seeking a Software Quality Engineer to join our team of qualified, diverse individuals at our Northridge, CA location. The qualified applicant will become part of Northrop Grumman's Software Quality Engineering team that is engaged with cutting edge technology on a dynamic portfolio of advanced weapons. The Software Quality Engineer performs tasks that contribute to the completion of program execution for one or more programs to meet the requirements of the contracts and business.
Qualified candidates work as part of a team, demonstrate a high level of attention to detail, possess the ability to plan ahead and be customer-support focused. Individuals must also have demonstrated ability to evaluate whether documents, instructions and procedures are being followed during execution and demonstrate strong verbal and written communication skills.
**Responsibilities include:**
+ Represent Software Quality Assurance for all software/firmware/Programmable Logic Device (PLD) development activities
+ Interface with manufacturing, engineering, customer, supplier, and subcontractor representatives to ensure requirements are met
+ Participate in software/firmware /PLD design reviews, defect reviews and code reviews
+ Review software/firmware/PLD design, specifications, and plans for compliance to contract and process requirements
+ Participate in test witnessing including preparations for test events, test monitoring, sign-off on final test reports, and surveillance of integration and testing labs and their activities
+ Review, analyze and report on software/firmware /PLD quality discrepancies related to the product(s) being delivered
+ Review/approve contract deliverables for accuracy and compliance to contract requirements
+ Perform internal audits to company/program requirements and industry standards
+ Investigate problems and recommend preventative/corrective actions, dispositions and modifications
**Basic Qualifications:**
+ Bachelor's Degree in a related STEM field from an accredited university
+ 4+ years of industry experience in Software Quality Assurance
+ Additional industry experience within one or more areas: quality, auditing, manufacturing, engineering and/or software-related field
+ Advanced understanding of CMMI and ISO9001/AS9100 standards
+ Working knowledge of Microsoft Office Tools
+ Strong interpersonal skills
+ Holds Interim Secret or Active Secret DoD Security Clearance (US Citizenship required)
**Preferred Qualifications:**
+ Experience working within various software/firmware /PLD development lifecycles
+ Experience translating high level product requirements into software/firmware requirements and specifications
+ Experience validating/testing software/firmware for compliance to contract requirements and specifications
+ Experience working with suppliers in defining software/firmware requirements and test cases
+ Experience validating supplier's testing of software/firmware run on embedded systems
+ Familiar with object-oriented programming languages, such as JAVA, C++, C#, Ada, or VHDL
+ Familiar with various scripting languages and CM tools, such as JIRA, Python, and MATLAB
+ Familiar with firmware debugging, including analysis of results and regression testing
+ Familiar with version and configuration control of programming files, especially when supplier furnished
**Salary Range:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Northridge, CAR10151195CaliforniaCAPrincipal Engineer Software QualityNone5C8D77D488844BB1839B2439A8B44BAAhttp://northropgrumman-veterans.jobs/5C8D77D488844BB1839B2439A8B44BAA23Redondo BeachNorthrop GrummanUnited StatesUSA2024-03-29 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
This position description does not represent a current opening but may be used to identify candidates with skills and experience for positions within Northrop Grumman that frequently become available. Candidates who express an interest may be considered for future positions at Northrop Grumman.
**This position is currently contingent on program award and associated program award funding. Start dates are determined after funding confirmation.**
Northrop Grumman Aeronautics Systems has an opening for a **Vehicle Management Systems (VMS) Engineer Aeronautical or Principal Engineer Aeronautical** to join our team of qualified, diverse individuals within our South Bay Vehicle Engineering organization. This position is located in Redondo Beach, CA.
Primary Duties and Responsibilities:
+ Define key subsystem capabilities, performance requirements, and design constraints in accordance with customer specifications
+ Define, develop, and manage system components, interface definitions, and implementation approaches
+ Interface with flight-critical and safety-critical systems for control of an aircraft, in particular functionality for control and status reporting. Example systems may include the following subsystems: Flight Control Actuation, Brake Control, Nose Wheel Steering, Hydraulics, Electrical Power, Propulsion, Environmental Control, Ground Support Interfaces, Landing Gears & Doors, Fuel Systems, Air Data Systems, Navigation
+ Perform technical planning, analysis, and hardware trade studies to meet requirements
+ Perform component hardware and software integration, and derive software requirements for control of flight-critical and safety-critical systems
+ Develop and execute component, subsystem, and system level test procedures to verify functionality of the VMS hardware and software
+ Coordinate with software engineers, subsystems/ design engineers, hardware engineers, test and integration, suppliers, systems engineering
+ Investigate and resolve system anomalies across multiple engineering disciplines
The selected candidate should thrive in a fast-paced work environment with high expectations and collaborative/team settings across all levels.
This requisition may be filled at either an Aeronautical Engineer (VMS) or Principal Aeronautical Engineer Air (VMS) level.
Basic Qualifications for Engineer:
+ Bachelor's Degree in a Science, Technology, Engineering, or Mathematics (STEM) field from an accredited university with 2 years of related engineering experience OR a Master's Degree (STEM)
+ **Must have a current active in-scope DoD Secret security clearance or higher, with the ability to obtain Special Program Access (SAP).**
Basic Qualifications for Principal Engineer :
+ Bachelor's Degree in a Science, Technology, Engineering, or Mathematics (STEM) field from an accredited university with 5 years of related engineering experience OR a Master's Degree (STEM) with 3 years of related engineering experience OR a PhD Degree (STEM)
+ **Must have a current active in-scope DoD Secret security clearance or higher, with the ability to obtain Special Program Access (SAP).**
Preferred Qualifications:
+ Experience with design, development, and/or integration of the Air Vehicle systems and subsystems listed above
+ Experience with hardware/software integration, verification & validation
+ Experience with engineering modeling and simulation
+ Excellent oral, written, and interpersonal communication skills
+ Ability to work as part of a team, organize work, manage time, plan, and prioritize
+ A current active in-scope U.S. Government DoD Secret clearance with current or previous Special Access Program (PAR/SAP).
**Salary Range:** $87,200 - $130,800
**Salary Range 2:** $107,300 - $160,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Redondo Beach, CAR10154781CaliforniaCAVehicle Management Systems (VMS) Engineer Aeronautical / Principal VMS Engineer AeronauticalNone6D406FA1561F47C38CA77767638E0ABChttp://northropgrumman-veterans.jobs/6D406FA1561F47C38CA77767638E0ABC23Edwards AFBNorthrop GrummanUnited StatesUSA2024-03-29 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.**
**Are you ready to put your experience to work at Northrop Grumman? If so, we want you to join our team as a Deployable Mgr. Information Systems Engineer 1. This position is located at Edwards AFB CA.**
**You will act as a Control Account Manager (CAM), manages information system functions for planning, optimization, configuration, and integration of diverse Information Technology (IT) disciplines. Manages one or more information systems functions for a specific user group/functional area for a site, or the entire organization.**
**Responsibilities include but are not limited to:**
+ **Analysis, selection and modification of application software, installation of network hardware and software, and database management and integrity.**
+ **Usually requires subject matter knowledge of user group for practical application of system characteristics.**
+ **Coordinates delivery of services to user groups and ensures IT service is uninterrupted.**
+ **Selects, develops, and evaluates personnel to ensure the efficient operation of the function.**
+ **Maintain a trained and ready staff capable of working across programs to provide surge support as necessary.**
**Specific duties include:**
+ **Use your experience preparing proposals and Bases of Estimates and managing to a SOW.**
+ **Interpret SOW to find most effective and efficient way to assist customers in finding solutions, both common and innovative.**
+ **Coordinate and collaborate with customers, peer group managers, and other stakeholders.**
+ **Responsible for developing training and career development pathways for assigned staff.**
+ **Prepares and standardizes processes to improve how we do business and trains staff to do the same.**
+ **Analysis, selection, and modification of, Hardware, Software, Network and desk top solutions, RF Communications, and specialized IT weapons systems.**
+ **Requires experience and practical application of diverse IT solutions, operations, Configuration management and data documentation.**
+ **Manages, operates, and sustains complex IT systems to the customer.**
+ **Selects, develops, and evaluates personnel to ensure the efficient operation of the function.**
**Basic Qualifications:**
+ **A minimum of 10 years of experience and a High School diploma or an equivalent; a Bachelor of Science in an Information Systems discipline with 6 years of applied experience may also be considered.**
+ **Bi-Annual FAA Third Class Flight Physical Certification.**
+ **Attain and maintain DoDI 8570 certification if offer of employment is extended.**
+ **Willing to work a flexible work schedule that may include extended shifts, holidays and weekends.**
+ **Meet medical requirements for worldwide travel.**
+ **Have and maintain a valid U.S. passport.**
+ **Ability to accept customer furnished quarters for a period up to 120 days away from primary work location and comply with mobility requirements to include required immunizations and vaccinations.**
+ **Current DoD Top Secret clearance, w/ SCI eligible.**
+ **Willing to submit to polygraph.**
+ **Ability to obtain program access within minimal time frame.**
+ **A good understanding of Earn Value Management System (EVMS)**
**Preferred Qualifications:**
**Experience with the following:**
**• Solaris 10**
**• Unix Operating Systems and Principles**
**• UNIX/Linux design and implementation**
**• All Windows Server and Desktop versions**
**• Active Directory, WINS, DNS, DHCP, IIS, SQL**
**• Oracle RAC**
**• Microsoft SMS and SCCM 2007**
**• Windows Deployment Services implementation and management**
**• Redundant offsite storage capabilities, implementation, and management**
**• Wide Area (WAN) and Local Area (LAN) networking**
**• Microsoft SMS and SCCM 2007**
**• Group policy design, testing and implementation**
**• Netware Directory Services**
**• High Availability Clustering Solutions**
**• Exchange design and implementation**
**• Radio Frequency Transmission Systems**
**• Satellite Communications Systems**
**• Agile**
**Salary Range:** $115,000 - $172,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Edwards Afb, CAR10151137CaliforniaCADeployable Manager Information Systems Engineering 1 - Top SecretNone793B9FEA674F4C7EB051B9284075AD58http://northropgrumman-veterans.jobs/793B9FEA674F4C7EB051B9284075AD5823ChantillyNorthrop GrummanUnited StatesUSA2024-03-29 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a Senior Principal Systems Engineer to join our team of qualified, diverse individuals within our Systems Engineering organization. This position is located in Chantilly, VA.
In this role you will need a good understanding of the systems engineering design life cycle and experience with fielded systems. You will complete integration of software sustainment builds, maintenance of Systems Engineering documentation and technical issue resolution, Field Service Action Requests, tech data discrepancies, and mission engineering. You would also provide technical root cause evaluations, technical recommendations, data analysis, system integration, and troubleshooting with minimal oversight.
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Educational assistance is available to employees who want to continue to learn and develop skills/knowledge. Our educational assistance program supports employee development by providing resources such as:
+ Free Education Advice: Call 855-222-2398 to speak with an experienced advisor to tailor an educational program to your career goals and needs.
+ Tuition Savings at Accredited Schools: Access a network of accredited schools in a variety of locations (and online) to take advantage of reduced tuition costs and waived fees.
+ Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees
**Essential Functions:**
+ Enhance the program implementation of DevSecOps enabling capabilities:
+ Work with all engineering teams to facilitate DevSecOps incorporation across the program
+ Work with key stakeholders to champion the agreements and process improvements needed to fully realize the potential of the DevSecOps pipelines
+ Configuration Management and Mission Assurance for configuration documentation and auditing processes
+ Develop a comprehensive DevSecOps strategy across all aspects of the engineering lifecycle
+ Update the Responsibility, Accountability, and Authority (RAA) and 'handoff' between development, suppliers, system test, operations, IT, customers, in support of moving from delivering Software Products to delivering Software Services
**Basic Qualifications:**
+ Must have a Bachelor of Science degree in a STEM discipline and 9 years of related military / professional experience in Systems Engineering OR a Master's degree in a STEM discipline and 7 years of related professional / military experience in Systems Engineering OR a PhD in a STEM discipline and 4 years of related professional / military experience in Systems Engineering
+ Must have an active DoD Top Secret clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation) OR must have held an active DoD Top Secret clearance within the past 2 years and be in-scope for reinstatement
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance
+ Must have previous experience with the DevSecOps methodologies and practices
+ Must have previous experience with Software Development Lifecycles (SDLC)
**Preferred Qualifications:**
+ Strong cross-functional team leadership and communication skills
+ Experience with the implementation and execution of a DevSecOps pipeline
+ Experience with multiple DevOps infrastructures and best practices
+ Knowledge and experience with virtualization technology, microservices, containers and container orchestration tools, build tools, and continuous integration environments
+ Experience with root cause investigations, fielded issue investigation, and operational missions
+ Experience in software development, including interfacing with systems engineering, integration, and test
+ Experience in full lifecycle of Systems Engineering, including requirements decomposition, test planning, requirements verification and requirements management
+ Familiarity with Atlassian suite software tools (and/or similar) for issue tracking and resolution
**Salary Range:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Chantilly, VAR10154692VirginiaVAIntegration / DevOps Engineer (Senior Principal Level; Top Secret Clearance Required; Chantilly VA)None944F80FD99D54D49884900FDC80DA3E3http://northropgrumman-veterans.jobs/944F80FD99D54D49884900FDC80DA3E323Nellis AFBNorthrop GrummanUnited StatesUSA2024-03-29 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a Staff Systems Engineer to join our team of qualified, diverse individuals within our Systems Engineering organization. This role is located at Nellis AFB, CA.
In this role, you will design and define system architecture for new or existing complex computer systems and supporting laboratory.
**Essential Functions:**
+ Determines systems specifications, input/output processes, and working parameters for hardware/software compatibility and maintenance of system security.
+ Coordinates design of subsystems and integration of total system. Identifies, analyzes, and resolves program support deficiencies.
+ Develops and recommends corrective actions. Will consult with software baseline engineers to ensure successful system total design.
+ Will plan, evaluate, and coordinate installation and/or reconfiguration of Commercial of the Shelf (COTS) hardware and propriety software builds.
+ Maintains technical expertise in all areas of networks and computer hardware and software interconnection and interfacing, such as routers, multiplexers, firewalls, hubs, bridges, gateways, etc.
+ Prepares or ensures that appropriate network documentation exists, including operational instructions.
+ Provides regular monitoring and network analysis regarding short- and long-range planning for in-house systems.
+ May coordinate third-party maintenance for network equipment.
+ May design network or portion of network that include selection of hardware and software packages.
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Educational assistance is available to employees who want to continue to learn and develop skills/knowledge. Our educational assistance program supports employee development by providing resources such as:
+ Free Education Advice: Call 855-222-2398 to speak with an experienced advisor to tailor an educational program to your career goals and needs.
+ Tuition Savings at Accredited Schools: Access a network of accredited schools in a variety of locations (and online) to take advantage of reduced tuition costs and waived fees.
+ Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees
**Basic Qualifications:**
+ Must have a Bachelors of Science degree in a STEM discipline with 14 years of relevant professional / military experience in Systems Engineering OR a Masters of Science degree in a STEM discipline with 12 years of relevant professional / military experience in Systems Engineering OR a Ph.D. in a STEM discipline with 9 years of relevant professional / military experience in Systems Engineering
+ Must have an active DoD Top Secret or higher clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation).
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance
+ Must be able to obtain and maintain an IAT Level 2 Security Certification (Security +, CySA+, CCNA Security, GICSP, GSEC, SSCP) or IAT DoD level 3 (CASP, CCNP-Security, CISA, CISSP,GCED, GCIH)
+ Must be willing to work outside of normally scheduled times, after hours support, and extended workdays.
+ Must be willing to travel up to 25%.
+ Must have a valid driver's license.
**Preferred Qualifications:**
+ Bachelor of Science degree in Information Systems with 10 years of experience or 8 years of relevant experience with a master's degree with a current IAT Level 2 security certification.
+ A DoD 8570 IAT Level I Certification (Security+).
+ Experience performing Information Systems Security duties.
+ Experience with the following operating systems and applications:
+ o Microsoft Office, Access, and Visio.
+ o Integrated Maintenance Data System
+ o Unix/Solaris Operating Systems and Principles
+ o Windows Server
+ o Wide Area (WAN) and Local Area (LAN) networking
+ o Group policy design, testing and implementation.
+ o Oracle RAC and DB
+ o VMware Virtualization
+ o Cisco IOS
+ o Red Hat Linux
+ o Assured Compliance Assessment Solutions (ACAS)
**Salary Range:** $157,500 - $236,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Nellis Afb, NVR10154746NevadaNVStaff Systems Engineer (Active Top Secret Clearance required; Nellis AFB NV)NoneD8AB5C45896745D9B305C131FB9325E4http://northropgrumman-veterans.jobs/D8AB5C45896745D9B305C131FB9325E423LinthicumNorthrop GrummanUnited StatesUSA2024-03-29 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems has an opening for a Staff Radar Systems Engineer to join our **Advanced Mission Capabilities** **Systems Engineering** team of qualified, diverse individuals at our Linthicum/Baltimore, MD campus. Talented candidates with backgrounds in Systems, Electrical, Computer, or Mechanical Engineering as well as Physics, Mathematics, and other related STEM fields are highly encouraged to apply! Our team is composed of various disciplinary backgrounds driving innovation. This position requires **100% on-site work** and an ability to pass an enhanced security review.
At Northrop Grumman, you can be part of our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
**How You Will Make a Difference at Northrop Grumman:**
As the lead developer of RF sensors for some of the most advanced systems in the U.S. arsenal, Northrop Grumman is a world leader in advanced sensor systems and design. These include radars for air dominance platforms (such as the F-22, F-35 Joint Strike Fighter, F-16), bomber and strike programs (such as B-1B), airborne / maritime / ground-based surveillance missions (E-3 AWACS, E-7 MESA, TPS-80 G/ATOR and UAV systems such as Global Hawk), as well as restricted programs and missions. We offer the opportunity to join a team to solve problems in the field and drive new solutions into next generation radar systems and air superiority platforms.
Northrop Grumman's **Advanced Mission Capabilities** Systems Engineering, Integration and Test (SEIT) organization has a need for a Radar Systems Engineer supporting the architecture, design, implementation, integration, and verification of some of our most advanced multi-function RF sensors and remote sensing applications. Daily activities may consist of requirements development, trade studies, algorithm design, MATLAB simulations, waveform design, signal processing, performance analysis, and flight testing.
Successful candidates will have the opportunity to work on a highly visible and valued mode of operation on some of the most prized platforms in the U.S. arsenal alongside a team of highly qualified sensor systems engineers. Northrop Grumman prioritizes mentoring and growth for our engineering team. This position offers exciting near-term challenges as well as long-term development through Northrop Grumman's technical career paths.
*This position requires **100% on-site work in the Linthicum/Baltimore, Maryland** campus and the ability to pass an enhanced security review.
**Roles and Responsibilities**
Staff Radar Systems Engineers develop parametric representations, visualizations, and data-driven analyses to support sensor requirements definition and use-case validation, including waveform and signal processing implementation. Engineers develop models and simulations in MATLAB, Python or C++ to perform radar computations, validate design choices, and troubleshoot integration findings. Staff Radar Systems Engineers work closely with Software Engineers and Systems Integration and Test Engineers to complete software/system/hardware integration and performance verification progressing in digital simulation environments, radar labs, and our on-site fleet of specially modified test aircraft. Throughout this process, Staff Radar Systems Engineers engage with our customers to balance functional and performance requirements with other sensor functionality to enable true multi-function operation.
**Basic Qualifications:**
+ Bachelor's Degree in Engineering, Microelectronics, Material Science, Chemistry, Physics or closely related technical major with 14 years of relevant experience; 12 years with an MS; 9 years with PhD; an additional 4 years of experience may be considered in lieu of a degree.
+ US Citizenship required
+ Active DoD Secret Security clearance and SAP access needed prior to starting
+ MATLAB experience
+ Experience with Model Based Systems Engineering
+ Experience working through the Systems Engineering process
**Preferred Qualifications:**
+ DoD Top Secret Clearance
+ Previously approved for special access programs
+ Ability to operate independently with minimal supervision
+ Proficiency with MATLAB, Python, C++, or equivalent programming/analysis languages
+ Knowledge of system engineering design methods, including requirements analysis and flow-down, specification derivation and interface control documentation
+ Experience with performance analysis by simulation, including verification and validation techniques
+ Experience with radar waveform, digital beamforming, adaptive processing, or algorithm development
+ Ability to effectively communicate and present complex technical concepts to project, program, and company management, other technical managers, and customers
+ Excellent technical writing and team-building skills
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
https://totalrewards.northropgrumman.com/
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
*This position is contingent upon the ability to obtain/maintain DoD Secret Clearance and Special Program Access (SAP) prior to starting.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO. U.S. Citizenship is required for most positions.
**Salary Range:** $157,500 - $236,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10139760MarylandMDStaff Radar Systems Engineer (Advanced Mission Capabilities)None9ACEE4DFF84E4466ABFFDA5F03FCA245http://northropgrumman-veterans.jobs/9ACEE4DFF84E4466ABFFDA5F03FCA24523Robins AFBNorthrop GrummanUnited StatesUSA2024-03-29 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
We are seeking FAA A&P Certified Technicians.
Are you ready to put your experience to work at Northrop Grumman?
If so, Our Defense Systems Sector wants you to join our team as a SCA Aircraft Mechanic 2.
This position is located at Robins AFB, GA, and requires you to work on site daily as well as working any shift as needed, when needed.
No relocation assistance is available.
Position also **requires** **being deployed across CONUS as well as internationally/OCONUS deployed** for periods of up to **180** days to support operations when required, to backfill staffing needs, or when USAF customer requirements dictate.
Once employed for the role **- must be willing and able to do required travel within 72 hours of notification of the travel.**
****This position is a Service Contract Act position.**
**Duties include:**
1.Perform maintenance, disassembly, rework, repair, replace, re-assembly, and adjustment of all aircraft systems in accordance with technical specifications, engineering instructions, and FAA regulations.
2.Execute line-maintenance/flight operations support for BACN E-11A (Global Express and Global 6000) aircraft including all electrical & mechanical troubleshooting, fault isolation & repair, parts replacement, line repairable unit (LRU) replacement, calibration tasks, testing, engine repairs and/or replacements & proper documentation in aircraft forms (Air Force Form 781 series).
3.Maintain FAA required records, manuals, and inspection forms
4.Conduct all levels of maintenance and inspections - flight line, in-depth, depot-level) of aircraft and components - in accordance with USAF maintenance procedures & in compliance with associated airworthiness approvals.
**Basic Qualifications:**
FAA Airframe and Powerplant Certification
High School diploma or equivalent
AND
2 years additional education and related experience
US citizenship
Must possess or be able to quickly obtain a DOD Secret security clearance.
Must possess or be able to quickly obtain a US Passport.
Business or regional jet experience such as Global Express, XRS, Global 6000, CRJ, G-5, G-4, Challenger, etc.
Must be able and willing to work any shift.
Must be able and willing to travel as required by the program to include international travel.
**Preferred Qualifications:**
Current US Passport
Experience in connector repair, wire harness manufacture, and in-depth electronic and electrical troubleshooting.
Adept at using electronic and electrical troubleshooting tools beyond the use of a simple meter.
Experienced in altimeter/transponder checks utilizing pitot-static & transponder test equipment.
RVSM certified and knowledgeable on FAA RVSM guidelines
Experience in flight control and landing gear rigging and operational checks.
Engine run qualification and engine borescope training on Rolls-Royce BR-710
NG Skills
**Salary Range:** $30 - $32
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Robins Afb, GAR10151160GeorgiaGASCA Aircraft Mechanic 2 - Multiple Shifts - Secret - Robins AFBNoneA6FAA502168B48DFAA00A5957AB6D6BBhttp://northropgrumman-veterans.jobs/A6FAA502168B48DFAA00A5957AB6D6BB23LinthicumNorthrop GrummanUnited StatesUSA2024-03-29 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Northrop Grumman Mission Systems (NGMS) Advanced Processing Solutions (APS) Business pushes the boundaries of innovation, redefines the leading edge of exotic new technologies, and drives advances in the sciences. One of our most challenging new fields is Transformational Computing, which combines the unique properties of superconductivity and quantum mechanics to develop radical new energy-efficient computing systems. Our team is chartered with providing the skills to transform computing beyond Moore's Law, advancing development of computer architectures, processing/memory subsystems, and large-scale high-performance computing systems. You'll work in a fast-paced team environment alongside a broad array of scientists, engineers and physicists to make these processing solutions a reality and deliver remarkable new advantages to the warfighter.
The Networked Information Solutions (NIS) Advanced Processing Solutions (APS) business is seeking a **Principal / Senior Principal Circuit Design Engineer / Layout Engineer.** The ideal candidate will have solid understanding of microelectronic electrical principles, demonstrated organizational skills and a willingness to learn and grow in a team environment. The development nature of our foundry activities will provide a willing candidate the opportunity to grow into an integral member of the Northrop Grumman design community.
**Responsibilities (including, but not limited to):**
+ Create custom designs and layouts that could include Process Control Monitor (PCM) structures, reticle alignment marks, and physical measurement structures. Mentoring and guidance are provided by process engineers, photo lithography engineers and peer engineers.
+ Provide floor planning guidance and support.
+ Create chip designs in various technologies for process prove-in, experimentation, and test support.
+ Perform both frontend and backend verification of designs.
+ Participate in reticle composition and tape out activities.
+ Document work performed.
**Additional Valuable Skills:**
+ Experience using Cadence design suite of tools to perform full and semi-custom design work.
+ Knowledge of Cadence Virtuoso L/XL/EXL capabilities that enhance design task efficiency.
+ Knowledge of semiconductor device physics and analog/mixed signal integrated circuit design.
+ Experience laying out or characterizing digital standard cells or memory elements.
+ Design simulation using industry tools such as ANSYS/HFSS or ADS.
+ Building scripts, in an agreed upon programing language, to automate repetitive tasks or facilitate an increase in productive work.
+ Create and document flows for future re-use and quality control.
+ Knowledge of an industry programing language: Shell, Python, Perl, TCL/TK or equivalent.
+ Experience with any of the following:
+ Behavior modeling skills using Verilog-A or Verilog-AMS.
+ Full-chip functional/performance verification methods.
+ Experience collaborating with research staff/quantum physicists to realize proof of principle designs.
**This position requires onsite support at our Advanced Technology Lab (ATL) in our Linthicum, MD office.**
**This position can be filled as a Principal Circuit Design Engineer / Physical Layout Design Engineer OR a Senior Principal Circuit Design Engineer / Physical Layout Design Engineer. Qualifications for both are listed below:**
**Basic Qualifications for a Principal Circuit Design Engineer / Physical Layout Design Engineer:**
+ Bachelor's Degree in a STEM related field with 5 years of related experience; 3 years with Masters; 0 years with PhD or 10 year's circuit design experience in lieu of a STEM degree.
+ Excellent verbal, written, and interpersonal communication skills.
+ Navigate file structures in the LINUX environment.
+ Able to obtain and maintain a DoD security clearance per business requirements.
+ US Citizenship.
**Basic Qualifications for a Senior Principal Circuit Design Engineer / Principal Physical Layout Design Engineer:**
+ Bachelor's Degree in a STEM related field with 9 years of related experience; 7 years with Masters; 4 with a PhD or 10 year's circuit design experience in lieu of a STEM degree.
+ Excellent verbal, written, and interpersonal communication skills.
+ Navigate file structures in the LINUX environment.
+ Able to obtain and maintain a DoD security clearance per business requirements.
+ US Citizenship.
**Preferred Qualifications for Principal Circuit Design Engineer / Physical Layout Design Engineer OR Senior Principal Circuit Design Engineer / Principal Physical Layout Design Engineer:**
+ Understanding of the Semiconductor fabrication process and process development.
+ Understanding of Process Design Kit (PDK) Development (tech files, verification rule files, Pcells, skill programing)
+ Skilled in the use of the Cadence Virtuoso capture tool.
+ Proficient in the use of Cadence ASSURA or Siemens Mentor Calibre DRC/LVS verification tools.
+ Experience in Superconducting Reciprocal Quantum Logic circuit design practices
+ Current Secret/TS SCI clearance
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10128814MarylandMDPrincipal / Senior Principal Circuit Design Engineer / Layout EngineerNoneFCE302DF06C247A895174A20D7A265E0http://northropgrumman-veterans.jobs/FCE302DF06C247A895174A20D7A265E023NorthridgeNorthrop GrummanUnited StatesUSA2024-03-29 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems is currently seeking a **Sr.** **Principal Model Based Systems Engineer / Principal Model Based Systems Engineer** (Weapons Systems) to join the Advanced Programs team to develop complex, next generation, state of the art weapon systems.
You will be a member of a high performing, systems engineering team interacting with customers, program management, cross-functional teams of engineers and senior technologists. In this role the selected candidate will participate in the development of integrated hardware & software subsystems for advanced weapons. This role includes translating customer requirements into system/component requirements and interfaces within a Model Based System Engineering (MBSE) environment.
This position includes the performance of requirements analysis, trade studies and analysis, functional analysis, state behavior analysis, and system timeline analysis.
**Roles & Responsibilities:**
**Sr. Principal Model Based Systems Engineer:**
+ Able to lead the engineering effort in system and sub-system level CONOPS development.
+ Perform requirements definition, multi-segment interface definition and system design activities that acknowledge technical, schedule, and cost constraints.
+ Create and maintain descriptive SysML-based systems models using model-based systems engineering (MBSE) methods and tools (Cameo, Rhapsody).
+ Prepare and present system and subsystems preliminary and detail design configurations, and performance assessments.
+ Ensures system engineering deliverables on major program milestones are met and risks are identified and addressed early.
+ Interface with design and implementation engineers and subject matter experts to ensure that robust and compliant designs are developed.
+ Plan for the integration and test of systems and subsystems
**Principal Model Based Systems Engineer:**
+ Support lead Engineer in system and sub-system level CONOPS development.
+ Perform requirements definition, multi-segment interface definition and system design activities that acknowledge technical, schedule, and cost constraints.
+ Create and maintain descriptive SysML-based systems models using model-based systems engineering (MBSE) methods and tools (Cameo, Rhapsody).
+ Prepare and present system and subsystems preliminary and detail design configurations, and performance assessments.
+ Interface with design and implementation engineers and subject matter experts to ensure that robust and compliant designs are developed.
+ Plan for the integration and test of systems and subsystems
**Basic Qualifications:**
**Sr. Principal Model Based Systems Engineer:**
+ Bachelor's degree with minimum of nine (9) years of related experience in similar / related field OR a Master's Degree with seven (7) years of experience OR a PhD with four (4) years of experience
+ Current active Secret clearance or higher.
+ Experienced, skilled, and disciplined in system engineering processes (requirements analysis and definition, functional analysis, and/or systems design synthesis).
+ Experience with Model Based Engineering (MBE), Model Based System Engineering (MBSE), Multidiscipline Design, Analysis and Optimization (MDAO) and other systems analysis methods and tools.
**Principal Model Based Systems Engineer:**
+ Bachelor's degree with minimum of five (5) years of related experience in similar / related field OR a Master's Degree with three (3) years of experience OR a PhD
+ Current active Secret clearance or higher.
+ Experienced, skilled, and disciplined in system engineering processes (requirements analysis and definition, functional analysis, and/or systems design synthesis).
+ Experience with Model Based Engineering (MBE), Model Based System Engineering (MBSE), Multidiscipline Design, Analysis and Optimization (MDAO) and other systems analysis methods and tools.
**Preferred Qualifications:**
+ Secret Clearance Periodic Reinvestigation within the last 5 years.
+ Background in aerospace systems; basic understanding of aircraft systems, missile systems, avionics, software systems, and their design drivers.
*Living in Northridge offers a perfect combination of urban living with the beauty of nature. It is a short drive away from downtown Los Angeles, the Hollywood Hills, or the rest of the San Fernando Valley.
Take advantage of your flexible work schedule to enjoy shopping at the local Northridge Fashion Center or spend time at the local Recreation Center as well as easy access to nearby protected natural areas such as the Chatsworth Reservoir, the Santa Susana Pass State Historic Park, Lake Balboa or within driving distance, spend a day at the beach or snow ski at our local mountains. With Cal State Northridge right in the heart of town, the area has an active college-town energy combined with family-friendly suburban charm. The stunning southern California climate makes for year-round easy living. With an average of 284 sunny days during the year, it is safe to say you'll have plenty of time to enjoy your outdoor activities to the fullest.
\#definingpossible
**Salary Range:** $133,000 - $199,600
**Salary Range 2:** $107,300 - $160,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Northridge, CAR10151012CaliforniaCASr. Principal Engineer Systems / Principal Engineer Systems (AHT)NoneB3F120028D93417997501FB317D79DCChttp://northropgrumman-veterans.jobs/B3F120028D93417997501FB317D79DCC23McClellanNorthrop GrummanUnited StatesUSA2024-03-29 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman** is seeking a **Machinist 1** for our **McClellan, CA** location.
The **Machinist 1** will perform basic machining duties that include but are not limited to: operating and maintain lathes, mills, and other machining equipment; taking precise measurements from instructions, drawings, and blueprints, and performing minor maintenance, repair, and cleaning of equipment and workstation.
**Basic Qualifications Machinist 1**
+ Machinist experience
+ High school or GED equivalent
+ Ability to follow written work instructions, blueprints and engineering specifications.
+ Experience using a variety of machinist hand tools and precision measuring instruments.
+ Strong attention to detail, accuracy and safety.
+ Solid written and verbal communication skills.
+ Ability to lift up to 50 pounds and stand for extended periods.
**The chosen candidate will:**
+ Set-up and operate a variety of machine tools such as lathes, milling machines, shapers, planers, and precision grinders to make metal parts, mechanisms, tools, or machines to exacting tolerances and dimensions.
+ Interpret blueprints, sketches, and engineering specifications; determines sequence of operations, number of cuts required, and method of setup.
+ Use a variety of machinist hand tools and precision measuring instruments; makes standard shop computations relating to dimensions of work, tooling, feeds, and speeds; measures, marks, and scribes dimensions and reference points to lay out stock for machining; fits and assembles precision parts into mechanical equipment and determines material, parts, and equipment required.
+ May monitor and verify quality in accordance with statistical process or other control procedures. Typically requires completion of a formal apprenticeship or equivalent training and experience.
**Salary Range:** $41,000 - $68,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Mcclellan, CAR10154274CaliforniaCAMachinist 1None088E78B642B5438C8532E6B746F93DC0http://northropgrumman-veterans.jobs/088E78B642B5438C8532E6B746F93DC023San AntonioNorthrop GrummanUnited StatesUSA2024-03-29 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At **Northrop Grumman** , our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
We are currently seeking a **Flight Operations Technician 3** based in San Antonio, Texas. In this position, you will perform maintenance operations on F-18 aircraft, F-18 aircraft equipment, F-18 engines, F-18 components, and systems in accordance with DOD, manufacturer, company, supplier and other directives in support of aircraft modification.
Performs a combination of responsibilities consisting of complex mechanical duties in all fields of specialization for the flight line, including power plant, run-in plumbing, hydraulics, rigging, and controls, and structures and surfaces on the complete airplane. Performs mechanical and operational duties for pre-flight and post-flight service and inspection and/or in preparation of customer acceptance of completed airplanes. Performs operations such as disassembling, repairing, replacing, rechecking, testing, re-rigging, servicing, and re-installing, as necessary. Operates aircraft power plants and ground equipment during acceptance checks, trouble shooting and routine maintenance of aircraft. May troubleshoot malfunctions/defects and schedule/modify maintenance procedures. Maintain practical knowledge of certified aircraft and ground support systems such as controls, fuel, hydraulic, pneumatic, electronic, fire control and armament components.
**Basic Qualifications:**
+ Must have a High School Diploma or equivalent (GED) and at least 4 years of aircraft maintenance experience.
+ Must be able to obtain a DoD Secret level security clearance once hired; and have the ability to maintain that clearance for continued employment.
+ Able to read and interpret schematics, assembly drawings, process specifications, technical manuals, written instructions, test procedures, etc.
+ Able to perform assigned duties on all shifts without encumbrances due to weather or physical location and conditions.
**Preferred Qualifications:**
+ Fighter type aircraft maintenance experience
+ A&P license
+ U.S. Navy or USMC background
+ Active Secret DoD clearance
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most position
**Salary Range:** $57,200 - $95,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Antonio, TXR10154423TexasTXF- 18 Flight Operations 3None3039FFCB5F50482199C8A50CF694F208http://northropgrumman-veterans.jobs/3039FFCB5F50482199C8A50CF694F20823PalmdaleNorthrop GrummanUnited StatesUSA2024-03-29 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems sector has an opening for a **Project Management or Principal Project Management** to join our team of qualified, diverse individuals. This position will be located in **Palmdale, CA** Center of Excellence where technology and teamwork come together.
The qualified applicant will become part of Northrop Grumman's Project Management Team working in a collaborative environment while promoting a positive and proactive teamwork environment.
This individual should possess leadership and team building capabilities, excellent communication, and have strong interpersonal skills. Must possess solid organization abilities and be a motivated, flexible team player.
Position is to join the Operations Project Management team supporting Production. This dynamic role works directly with the production IPT to manage shop floor constraints, in-house part fabrications, section readiness reviews, and project management of schedules impacting the IPT. Assess project risks/issues and provide mitigation strategies by developing mechanisms that monitor project progress. Execute work release orders that mitigate baseline requirement impacts to production. Provide insight on change execution and work with the functional teams to compile lessons learned. This a highly visible position that works directly with Production Leadership.
**Basic Qualifications Project Management Level 2:**
+ Minimum of a Bachelor's Degree with 3 or more years of project management experience and/or experience in a production or operations environment; OR a Master's Degree with 1 or more years of project management experience and/or experience in a production or operations environment OR 5 or more years of project management experience and/or experience in a production or operations environment with an Associate's Degree.
+ Proficiency in MS Project and MS Excel.
+ Must have a current and active DoD Secret level security clearance with a periodic re-investigation.
+ Ability to obtain and maintain Special Program Access.
+ Ability to travel 10% of the time.
+ Ability to work any shift.
**Basic Qualifications Project Management Level 3:**
+ Minimum of a Bachelor's Degree with 6 or more years of project management experience and/or experience in a production or operations environment; OR a Master's Degree with 4 or more years of project management experience and/or experience in a production or operations environment OR 8 or more years of project management experience and/or experience in a production or operations environment with an Associate's Degree.
+ Proficiency in MS Project and MS Excel.
+ Must have a current and active DoD Secret level security clearance with a periodic re-investigation.
+ Ability to obtain and maintain Special Program Access.
+ Ability to travel 10% of the time.
+ Ability to work any shift.
**Preferred Qualifications:**
+ Active Special Program Access.
+ Project Management Professional (PMP) Certificate or similar.
+ Aerospace and/or Defense industry Experience.
+ Experience working in a fast-paced manufacturing / Assembly line setting.
**Salary Range:** $72,100 - $108,100
**Salary Range 2:** $88,700 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10151030CaliforniaCAProject Management/Principal Project ManagementNone47D46AE3D468472EBBF0628ADB2EA549http://northropgrumman-veterans.jobs/47D46AE3D468472EBBF0628ADB2EA54923Redondo BeachNorthrop GrummanUnited StatesUSA2024-03-29 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
This position description does not represent a current opening but may be used to identify candidates with skills and experience for positions within Northrop Grumman that frequently become available. Candidates who express an interest may be considered for future positions at Northrop Grumman.
**This position is currently contingent on program award and associated program award funding. Start dates are determined after funding confirmation.**
Northrop Grumman Aeronautics Systems is looking for an Engineer or Principal Guidance Navigation Controls Engineer to support the Vehicle Engineering organization in **Redondo Beach, CA** .
The selected candidate will support research and development of flight control algorithms for manned and unmanned air vehicles. This is a dynamic and fun organization involved in deploying forward-leaning avionics, guidance, navigation, and control systems for developmental concepts for air vehicles, weapons, and many more platforms.
Responsibilities:
+ Design, develop, implement, verify, and test algorithms, software, and simulation tools to perform guidance, navigation and control (GNC) of autonomous unmanned aircraft.
+ Develop control laws to meet air vehicle performance, flying qualities and stability margin requirements, both on ground and in flight.
+ Design inner/outer loop control laws, and associated logic and autonomy functions.
+ Develop air vehicle system linear and non-linear dynamic simulation models and integrate models into software and hardware-in-the-loop simulation environments.
+ Perform performance and flying qualities analysis.
+ Support non-linear simulation and hardware-in-the-loop lab testing.
+ Support flight test activities and analyze air vehicle flight test data.
This requisition may be filled at either an Engineer or Principal Engineer level.
Basic Qualifications for Engineer Guidance and Navigation Controls:
+ Bachelor's degree in a Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university with a minimum of 2 years of experience OR Master's degree in a STEM discipline from an accredited university with a minimum of 0 years of experience.
+ Excellent communication, analysis, and problem-solving skills.
+ **Must have a current active in-scope DoD Secret security clearance or higher, with the ability to obtain Special Program Access (SAP)**
+ Working knowledge of fixed-wing aircraft flight dynamics.
+ Experience using linear control principles and tools to design feedback control systems. Working knowledge of analyzing non-linear simulations of aircraft closed-loop dynamics.
+ Working knowledge of autopilot functions.
+ Working knowledge of inner loop control law performance requirements.
+ Experience using MATLAB to create, process, analyze, and simulation aircraft data.
+ Experience using Simulink to model dynamic systems.
Basic Qualifications for Principal Engineer Guidance and Navigation Controls:
+ Bachelor's degree in a Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university with a minimum of 5 years of experience OR Master's degree in a STEM discipline from an accredited university with a minimum of 3 years of experience OR PhD in a STEM discipline from an accredited university with a minimum of 0 years of experience.
+ Excellent communication, analysis, and problem-solving skills.
+ **Must have a current active in-scope DoD Secret security clearance or higher, with the ability to obtain Special Program Access (SAP)**
+ Working knowledge of fixed-wing aircraft flight dynamics.
+ Experience using linear control principles and tools to design feedback control systems.
+ Experience analyzing non-linear simulations of aircraft closed-loop dynamics.
+ Working knowledge of autopilot functions.
+ Working knowledge of outer loop control law performance requirements.
+ Experience using MATLAB to create, process, analyze, and visually represent data.
+ Experience using Simulink to model dynamic systems.
Preferred Qualifications:
+ Experience designing inner loop control laws.
+ Experience with MATLAB/Simulink code generation.
+ Experience designing autopilot functions.
+ Familiar with logic, modes, and states for autonomous aircraft.
+ Working knowledge of SAE-94910 performance requirements.
+ C/C++ programming skills
+ Python programming skills
**Salary Range:** $87,200 - $130,800
**Salary Range 2:** $107,300 - $160,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Redondo Beach, CAR10154818CaliforniaCAGuidance Navigation Controls (GNC) Engineer / Principal GNC EngineerNoneA2634982F51C4C728EBF47D023DC6760http://northropgrumman-veterans.jobs/A2634982F51C4C728EBF47D023DC676023San DiegoNorthrop GrummanUnited StatesUSA2024-03-29 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
The Northrop Grumman Networked Information Solutions Division of Northrop Grumman Mission Systems is looking for a **Principal or Sr Principal Contract Administrator** to join our Strategic Networking Application & Products (SNAP) Operating Unit in San Diego, CA. This position will require excellent oral and written communication skills and will represent the organization as a focal point on contract matters. This position will require interaction with senior internal and external personnel on significant matters often requiring coordination between organizations and may develop and deliver presentations.
**What You'll Get to Do:**
The **Principal / Sr Principal Contract Administrator** will be considered a key focal point on contract matters within the organization and must demonstrate the skill and ability to perform complex tasks. As most assignments are complex, the
position will require the candidate to work independently without appreciable direction.
Responsibilities:
+ • Administer, extend, negotiate and terminate standard and nonstandard contracts
+ • Provide advice to management of contractual rights and obligations, compile and analyze data, and maintain historical information as well as be self-motivated
+ • Have the ability to handle urgent situations from Northrop Grumman leadership and U.S. Government officials
+ • Participate in proposal preparation (review, analysis, interpretation & contractual advice on terms & conditions), contract negotiations, contract administration, review and approval of contractual documentation to protect the company and provide for proper contract acquisition in accordance with the terms and conditions of the contract
+ • Provide contractual advice in accordance with company policies and procedures, and interface with both internal and external customers to ensure proper contract execution
+ • Serve as an external spokesperson for the organization on major matters pertaining to its policies, plans, and objectives
+ • Ensures timely and compliant deliverables for all contracts assigned
The candidate hired will be based out of our San Diego office and will be required to work a hybrid work schedule at Spectrum Center.
**Basic Qualifications:**
Principal Contract Administrator:
+ Bachelor's Degree and at least 6 years relevant experience in U.S. Government contract administration and management or Masters Degree with 4 years relevant experience
Senior Principal Contract Administrator:
+ Bachelor's Degree and at least 10 years relevant experience in U.S. Government contract administration and management or Masters Degree with 6 years relevant experience
Both levels:
+ Must be able to effectively work with the management of other organizations (program management, subcontracts, business management, and supply chain management), as well as representatives of Government agencies
+ Must have a demonstrated understanding of and experience with FAR and DFARS, especially with FAR Part 12 and FAR Part 15. Experience with various contract types such as Time & Material, Cost types, Firm Fixed Price, Other Transactions, etc.
+ Must have capable & effective proposal development skills, excellent negotiation skills, and excellent oral and written communication skills
+ Must possess excellent decision-making skills that support resolution of complex problems while minimizing risk to the organization
+ Ability to obtain a Secret level security clearance
**Preferred Qualifications:**
+ Experience in U.S. Government Department of Defense contract administration & management
+ Demonstrated ability to effectively communicate with and influence others
+ Ability to work independently and make independent decisions, ensuring high levels of collaboration with stakeholders and subject matter experts
+ Ability to brief internal and external customers
+ Able to handle multiple tasks effectively in a fast paced environment
+ Able to work as a team player, be proactive in identifying and resolving problems, have excellent organizational skills, and effective time management skills
+ Strong problem solving skills and the ability to be flexible and change directions when needed
**W hat We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $86,300 - $129,500
**Salary Range 2:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10151170CaliforniaCAPrincipal /Sr Principal Contract AdministratorNone23CF4DE2D18944E2BF94A67E2A63FE7Ahttp://northropgrumman-veterans.jobs/23CF4DE2D18944E2BF94A67E2A63FE7A23San DiegoNorthrop GrummanUnited StatesUSA2024-03-29 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
We are looking for you to join our team as a Technical Editor and Writer or Principal Technical Editor and Writer based out of our San Diego, CA campus.
**What You'll Get to Do:**
Writes, rewrites and/or edits technical documents such as O-Level and D-Level operations and maintenance manuals (in contractor and USAF Technical Order format), payload operator manuals, software user manuals, and training documentation to communicate clearly and effectively technical specifications and instructions to a wide range of audiences.
Acquires subject knowledge by: (1) interviewing product developers, engineers, logisticians, lab technicians, 3D model animators, production personnel, and field technicians, (2) observing performance of system operations and maintenance, and (3) researching technical specifications, related program documents, engineering drawings, 3D animations/job aids, and operations/maintenance videos. Responsible for coordinating equipment photo shoots with on-staff photographer. Conducts peer reviews of program documents generated; coordinates stakeholder review with Configuration Management (CM).
Roles and Responsibilities:
+ Must have excellent technical writing skills with a focus on accuracy and consistency of both technical information and documentation layout.
+ Working with mechanical, electrical, data flow and maintenance concepts.
+ Responsible for acquiring/creating original illustrations for manuals.
+ Must be a highly motivated, self-starter who is deadline-driven.
+ Writer will be responsible for all phases of document generation (i.e., researching, writing, incorporating updates, and delivery via CM).
+ Must have a focus on the end-user needs to safely perform his/her job, while protecting the equipment from potential damage and ensuring a successful mission.
+ Must be able to research available resources in a timely manner to find needed information.
+ Must be able to manage his/her time well to generate high quality documentation on schedule.
This requisition may be filled as a Technical Editor and Writer or Principal Technical Editor and Writer.
**Basic Qualifications for a Technical Writer and Editor:**
+ Bachelors Degree with 3 years relevant experience; 1 Year of relevant experience with Masters; Associate degree with 5 years of relevant experience
+ Must have a solid background in hardware technical writing with maintenance and troubleshooting experience.
+ Experience with Microsoft Word, PowerPoint, PhotoShop, Adobe Acrobat Pro and SharePoint
+ Must have active/current Secret Clearance
**Basic Qualifications for a Principal Technical Writer and Editor:**
+ Bachelors Degree with 6 years relevant experience; 4 Year of relevant experience with Masters; Associate degree with 8 years of relevant experience
+ Must have a solid background in hardware technical writing with maintenance and troubleshooting experience.
+ Experience with Microsoft Word, PowerPoint, PhotoShop, Adobe Acrobat Pro and SharePoint
+ Must have active/current Secret Clearance
**Preferred Qualifications for Both Levels:**
+ Bachelors Degree or higher degree
+ Experience with Snag-It
+ Additional qualifications include: (1) technician experience in the military or on DoD contracts, (2) an understanding of Configuration Management processes, (3) experience with creating software user manuals and test cases, (4) experience with training development, and (5) experience with the following is a plus: ClearQuest, Arbortext (SGML and S1000D), Visio, Illustrator, Snag-It, AutoCAD, SolidWorks, 3D Modeling, and/or Subversion (SVN).
**Salary Range:** $65,600 - $98,400
**Salary Range 2:** $80,600 - $121,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10151416CaliforniaCATechnical Editor and Writer/ Principal Technical Editor and WriterNone4D4C1C91D1CD417D9F3E3FBBA4CD067Ahttp://northropgrumman-veterans.jobs/4D4C1C91D1CD417D9F3E3FBBA4CD067A23Sierra VistaNorthrop GrummanUnited StatesUSA2024-03-29 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems has an immediate opening for an **Administrative Assistant 4** in the **Global Autonomous & Tactical Solutions Operating Unit** . This position will support the Director of Global Autonomous & Tactical Solutions and their staff to support meeting overall business objectives. The role will be a **full-time, onsite position** **located at our Sierra, Vista, AZ facility.**
As a senior administrative assistant, perform advanced office functions such as setting up and executing virtual and in-person meetings and training sessions, project coordination, managing records, paying of bills and conflict resolution for leadership scheduling requirements. Reviews drafts and finished documents for appropriate grammatical usage; answers questions relating to office operations and established policies and procedures. Prepares report drafts and meeting minutes as needed. Make travel arrangements and process expense reports in a timely manner utilizing corporate web-based tools. Work with customers, executive leaders and other administrative personnel within the division, business unit and business management function in support of projects, conference/event planning, and tasking as required.
**Basic Qualifications:**
+ High School Diploma with a minimum of 6+ years additional education and/or professional relevant experience.
+ Strong proficiency in Microsoft Office Suite (Outlook, Word, Excel, and PowerPoint)
+ Intermediate proficiency in video conferencing tools (Teams, Zoom, etc.)
+ Experience with travel booking and expense report tools (Concur or similar)
+ Prior experience managing calendars and coordinating meetings/events
+ Excellent organizational skills and ability to manage multiple tasks
+ Experience planning and executing virtual and on-site events
+ Project coordination for administrative office initiatives
+ Must have the ability to obtain and maintain **DoD Secret Clearance**
**Preferred Qualifications:**
+ Active U.S. Government DOD Secret security clearance, or higher
+ Knowledge of Northrop Grumman policies and procedures
+ Experience as an administrative assistant supporting Director-level leadership
+ Experience supporting and interfacing with Military & Government officials/executives
**Salary Range:** $55,100 - $91,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Sierra Vista, AZR10154809ArizonaAZAdministrative Assistant 4None5EAC1B24F3E74582B63AE0675FD52A9Chttp://northropgrumman-veterans.jobs/5EAC1B24F3E74582B63AE0675FD52A9C23PalmdaleNorthrop GrummanUnited StatesUSA2024-03-29 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Climb to new heights on your journey when you start Defining Possible with Northrop Grumman. Enjoy a purposeful career in aeronautics that is crucial to the way we connect and protect our world across land, sea, and air. Bring your experience and take advantage of this opportunity to discover how you can start to push past possible and achieve your goals today. Enjoy a diverse, collaborative environment with professionals across the nation ready to help launch your career.
Northrop Grumman Aeronautics Systems sector is seeking a **Principal Industrial Security Analyst or Sr. Principal Industrial Security Analyst** to join our team of qualified, diverse individuals. This position will be located in Palmdale, CA.
Roles and Responsibilities:
Develops, and administers security programs and procedures for classified or proprietary materials, documents, and equipment.
Studies and implements federal security regulations that apply to company operations. Obtains rulings, interpretations, and acceptable deviations for compliance with regulations from government agencies.
Prepares manuals outlining regulations, and establishes procedures for handling, storing, and keeping records, and for granting personnel and visitors access to restricted records and materials.
Conducts security education classes and security audits. Investigates security violations and prepares reports specifying preventive action to be taken.
**Principal Industrial Security Analyst** **Basic Qualifications:**
+ Bachelors Degree with 6 years of experience or 4 years with Masters; an additional 4 years of experience will be considered in place of a degree
+ Proficient in understanding and applying NISPOM and government regulations
+ Candidate must have and be able to maintain an Active U.S. Government security clearance at the DoD Top Secret level with a background investigation date within the last 6 years
+ Must be able to obtain and maintain Special Program Access (SAP)
+ Excellent written and oral communication skills with experience with Microsoft Office applications such as Excel, Word, PowerPoint, and Access
+ Must be able to sit, stand, climb stairs, and lift lightly during shift
**Sr. Principal Industrial Security Analyst Basic** **Qualifications:**
+ Bachelors Degree with 10 years of experience or 8 years with Masters; an additional 4 years of experience will be considered in place of a degree
+ Proficient in understanding and applying NISPOM and government regulations
+ Candidate must have and be able to maintain an Active U.S. Government security clearance at the DoD Top Secret level with a background investigation date within the last 6 years
+ Must be able to obtain and maintain Special Program Access (SAP)
+ Excellent written and oral communication skills with experience with Microsoft Office applications such as Excel, Word, PowerPoint, and Access
+ Must be able to sit, stand, climb stairs, and lift lightly during shift
**Preferred Qualifications:**
+ Bachelors Degree
+ 6 years of Industrial Security experience
+ Ability to effectively manage security alarm systems in a large complex environment according to DoD requirements, CFR, ICD and UL2050
+ Familiarity with AFI 31-101 and 10-245
+ Experience drafting, maintaining, and interpreting Standard Operating Procedures (SOP), Security Plans, and Concept of Operations documents
+ Skilled in interpreting and applying Air Force security requirements for government owned, contractor operated facilities
+ Skilled in providing security-relevant training and briefings
+ Team player, exhibits flexibility, sets priorities, and manages customer expectations in a sometimes fast-paced environment
+ Interacts with internal and external customers or Government security officials in performance of security duties Northrop Grumman is committed to hiring and retaining a diverse workforce.
We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit www.northropgrumman.com/EEO. U.S. Citizenship is required for most positions.
**Salary Range:** $88,700 - $133,100
**Salary Range 2:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10154663CaliforniaCAPrincipal Industrial Security Analyst / Sr. Principal Industrial Security AnalystNone7E7E0738FACC4B8D8925089911495AB4http://northropgrumman-veterans.jobs/7E7E0738FACC4B8D8925089911495AB423LinthicumNorthrop GrummanUnited StatesUSA2024-03-29 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
This position description does not represent a current opening but may be used to identify candidates with skills and experience for positions within Northrop Grumman that frequently become available. Candidates who express an interest may be considered for future positions at Northrop Grumman.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
We are looking for you to join our Program Scheduling and Compliance Center of Excellence as a **Program Planning Analyst /SCHEDULER** based out of Linthicum, Maryland. This position may be staffed as a Hybrid role.
**What You'll Get to Do**
The **Program Planning Analyst/SCHEDULER** interfaces with Program Managers, Engineering, Operations and Business Management to develop program plans and schedules. The selected candidate will prepare, maintain, and analyze a program Integrated Master Schedule (IMS) which incorporates all program scope and identifies program milestones with contractual need dates. The **Program Planning Analyst/Scheduler** works with Control Account Managers to decompose program scope into clearly defined tasks, identifies internal and external task dependencies, analyzes forecast dates impacts, and analyzes impacts to the critical path(s).
The **Program Planning Analyst/Scheduler** supports the business management team with developing an estimate to complete based on assessing resource requirements for scheduled tasks. This role is responsible for managing status updates, development of internal and external reports on program status and identification of risks impacting the achievability of program schedule requirements. In addition, this role will ensure compliance with applicable EVMS Guidelines.
Schedulers are hired directly into our Scheduling Center of Excellence and placed on programs within the Mission Systems (MS) Sector. The MS Scheduling organization focuses on skill development and, most importantly, creates a pathway for professional development and progression. The Center of Excellence provides training within a standard, common tool suite that allows for speed, productivity and integration while facilitating compliance and focusing on program execution.
**This position may be filled as a Program Planning and Scheduling Analyst or a Principal Program Planning and Scheduling Analyst.**
**Basic Qualifications:**
+ Bachelor's degree from an accredited institution with a minimum of 3 years of related work experience or a Master's degree with 1 year of related experience.
+ Will consider 7 years of applied experience in lieu of degree requirement.
+ Project scheduling experience using Microsoft Project, Oracle P6, Deltek Open Plan or other related scheduling tools.
+ Proficiency with MS Office Suite, specifically Excel, PowerPoint, and Word.
+ The ability to obtain and maintain a DoD Secret clearance is required
**Preferred Qualifications:**
+ Bachelor's degree or higher in Business Management, Industrial Engineering, Systems Engineering, Finance, Operations Management, or related field
+ Experience using Schedule Health Analysis tools and Schedule Risk Assessment tools.
+ Working knowledge of EVMS guidelines and processes.
+ Active DoD Secret clearance
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $75,700 - $113,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10154665MarylandMDProgram Planning and Scheduling AnalystNoneBC00C5BE6D4F4A3B8176398DCE6DE3E2http://northropgrumman-veterans.jobs/BC00C5BE6D4F4A3B8176398DCE6DE3E223BaltimoreNorthrop GrummanUnited StatesUSA2024-03-29 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Mission Systems (NGMS)** is seeking **Principal/SR Principal Project Managers** to support the Restricted Programs Operating Unit (OU), operating within the Advanced Missions Capabilities Business Unit (BU) in the Airborne Multifunction Sensors (AMS) Division located in **Baltimore, Maryland** . The Restricted Programs OU is offering a unique opportunity for junior employees to jump-start their careers in program management in the Project Management field.
The Restricted OU is growing fast at approximately $300M in sales/year and is on track to continue to grow over the next few years. The Restricted portfolio has a unique range of cutting-edge technology programs spanning the entire lifecycle from early development through production and sustainment, while operating in a challenging and fast-paced environment to bring needed capability to the warfighter.
**What You'll Get to Do:**
Serves as liaison between Program management and planning, technical managers, and line management. Reviews status of projects and budgets; manages schedules and prepares status reports. Assesses project issues and develops resolutions to meet productivity, quality, and stakeholder-satisfaction goals and objectives. Develops mechanisms for monitoring project progress and for intervention and problem solving with program managers, line managers, and customers. Potential job duties may also include, but are not limited to:
+ Working side by side with the Program Manager and Integrated Product Team (IPT) leads on all program reporting and executive level presentations.
+ Ensuring that IPT processes and products result in quality, compliant, affordable, and on-time deliverables that achieve customer satisfaction.
+ Supports Risk and Opportunity management of the IPT and program.
+ Ensures implementation of new processes and implements process improvement changes.
+ Capturing, tracking and managing meeting action items to closure.
+ Creating, maintaining, and updating meeting attendance lists and rhythm.
+ Performing/Assisting as Cost Account Manager with Technical IPT lead.
+ Adherence to budget baselines utilizing Earned Value Management (EVM) or similar cost and schedule control methodologies and tools.
+ Managing Government/Customer supplied property or information (GFE/CFE, etc).
+ Management of suppliers to meet program objectives.
+ Adherence to all internal processes, policies and applicable industry standards.
+ Ensuring IPT understands and adheres to contract scope and manages change through control board activities.
+ Development and adherence to plans and schedules.
This requisition may be filled at either a Principal Project Manager level or a S R Principal Project Manager level.
**Basic Qualifications for Principal Project Manager 3:**
+ Bachelor's Degree and 6 years' or a Master's Degree and 4 years of experience supporting U.S. Government contracts or project management in other industries (Note: An additional 4 years of applicable work experience may be substituted for a Bachelor's Degree).
+ Proficiency with MS Office applications.
+ Solid understanding of business and financial concepts, cost account management, tools and processes to aid program decisions, actions and performance.
+ Experienced communicator that can work across teams with multiple stakeholders.
+ Experience with Risk and Opportunity Management.
+ Experience with monitor/control program plans, cost and schedule baselines to meet contractual requirements and stakeholder expectations.
+ US Citizenship.
+ Active DoD Secret clearance or higher (granted or renewed within the last 6 years) and ability to obtain special access clearances.
**Basic Qualifications for SR Principal Project Manager 4:**
+ Bachelor's Degree and 10 years' or a Master's Degree and 8 years of experience supporting U.S. Government contracts or project management in other industries (Note: An additional 4 years of applicable work experience may be substituted for a Bachelor's Degree).
+ Proficiency with MS Office applications.
+ Solid understanding of business and financial concepts, cost account management, tools and processes to aid program decisions, actions and performance.
+ Experienced communicator that can work across teams with multiple stakeholders.
+ Experience with Risk and Opportunity Management.
+ Experience with monitor/control program plans, cost and schedule baselines to meet contractual requirements and stakeholder expectations.
+ US Citizenship.
+ Active DoD Secret clearance or higher (granted or renewed within the last 6 years) and ability to obtain special access clearances.
**Preferred Qualifications:**
+ Experience in program management, engineering, manufacturing or supply chain.
+ Experience working development, production and/or sustainment programs.
+ Proficiency with Microsoft Project.
+ Cost Account Management Certification.
+ Experience/Training in Earned Value Management.
+ Experience with SAP or other Enterprise Resource Planning Systems.
+ Active special access clearance.
**Salary Range:** $84,600 - $127,000
**Salary Range 2:** $104,900 - $157,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10143268MarylandMDPrincipal/SR Principal Project Management - Restricted Programs OUNoneC0FC309E91D4441E98450408C60E046Bhttp://northropgrumman-veterans.jobs/C0FC309E91D4441E98450408C60E046B23RoyNorthrop GrummanUnited StatesUSA2024-03-29 06:15:34At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is seeking Sr Principal Financial Cost Control Analysts to join our team of qualified, diverse individuals. **This position will be supporting the Sentinel program in Roy, UT.**
**Roles and Responsibilities include, but are not limited to, the following:**
+ Setting up cost control system, monitoring and controlling costs and schedules on contracts requiring validated cost schedule control system.
+ Performing analyses and preparing reports in order to ensure that contracts are within negotiated and agreed-upon parameters and government cost control guidelines.
+ Preparing budgets and schedules for contract work and performs and/or assisting in financial analyses such as funding profiles, sales outlook, and variance analysis.
+ Preparing program plans to ensure program requirements and statement of work are captured and scheduled.
+ Performing schedule risk assessments to identify and mitigate program cost and scheduling risks.
+ Monitoring funding availability by maintaining accurate records of expenditures, directing preparation of expenditure projections, and submitting timely requests for additional funding to the government.
+ Incorporating contractual changes into control systems by staying aware of outstanding work against each contract in order to maintain realistic contract cost and schedule baselines.
+ Performing miscellaneous financial analysis as required.
+ Continually assessing and improving financial reporting processes to enhance efficiencies.
+ Participating in month-end financial reporting close activities.
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. #GBSDbusmgmt
**Basic Qualifications for a Level 4**
+ Bachelor's degree with 10 years of related professional experience - OR - a Master's degree with 8 years of related professional experience. 14 years of related experience may be accepted in lieu of degree.
+ Experience in any of the following: SAP, SAP Business Warehouse, Deltek Cobra or MPM or other ERP system
+ Strong PC skills and proficient knowledge with Microsoft Office Suite, including MS Excel.
+ Strong analytical ability.
+ Active DoD Secret level security clearance (with the investigation in the past 6 years). US citizenship is a prerequisite.
**Preferred Qualifications:**
+ Active SCI (SSBI) or DoD Top Secret security clearance (with a full investigation within the past 6 years).
+ Experience in Accounting, Finance, Business Administration, Program Cost Analysis or Program Scheduling
+ 3+ years of Earned Value Management System (EVMS) experience.
+ Proficient in Deltek Cobra or Schedule Risk Assessment software tools.
+ Ability to effectively manage competing priorities and deadlines.
+ Ability to handle multiple tasks in a fast paced environment.
+ Excellent problem solving and organizational skills.
+ Aerospace/Defense Industry experience.
**Salary Range:** $95,000 - $142,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10148448UtahUTSr Principal Financial Cost Control Analyst - Roy UT (7432-1)None37394CCE154B45F8B244B588AFE9F80Dhttp://northropgrumman-veterans.jobs/37394CCE154B45F8B244B588AFE9F80D23LinthicumNorthrop GrummanUnited StatesUSA2024-03-29 06:15:34At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**The Supply Chain Planning Specialist (SCPS) will provide support from a Global Supply Chain perspective for the Emerging Capabilities Development (ECD) Division. This position will be an A03 Principal Supply Chain Planning Specialist.**
**This position can be worked out of our Linthicum, MD facility with a flexible work arrangement option or 100% remote.**
**Specific duties would include but are not limited to:**
**Supporting ECD Programs and Proposals from a GSC perspective with limited to no Management oversight.**
**Collaborate with various organizations such as Contracts, Program Office, Business Management, E&P and other GSC ECD personnel etc. to:**
+ **Develop/understand the proposal/execution strategy and structures**
+ **Develop Key Suppliers/sources for entry into key programs and Industry Technology areas**
+ **Review and understand supplier proposals**
+ **Review and work Costed Bill of Materials and BOEs**
+ **Completion and management of ISP from proposal phase through execution**
+ **Review Shop Orders and support execution through receipt**
+ **Define and develop material strategy for each program**
+ **Manage/report and forecast material cost/performance of assigned program/s (Material Receipt Tool, CMEs, IPRS)**
+ **Interface/collaborate with other functions of the program team**
+ **Understand/ensure the overarching goals of the division are being supported as well as the GSC organization**
+ **Maintain a strong business acumen and ability to operate in a fast paced development environment that consists of leading edge technology suppliers and non-traditional supply base.**
+ **Interface with supply base**
+ **Identify areas of opportunity for process improvement and support implementation**
+ **Support Statement of Work (SOW) creation for subcontract activities**
+ **Provide guidance, mentorship, and on the job training to lower level professionals**
+ **Ability to work with less experienced Program Teams and provide a general level of guidance of processes and procedures, etc.**
**Basic Qualifications:**
+ **Bachelor's degree and minimum of 6 years of experience in supply chain, contracts, business and/or related field OR Master's degree and minimum of 4 years of experience in supply chain, contracts, business and/or related field (Will consider 10 years of applied experience in lieu of degree requirement.)**
+ **Ability to be agile and work in a fast passed engineering environment**
+ **Ability to work in a team environment while also being able to work independently with limited oversight**
+ **Must be able to obtain a secret clearance ( US Citizen)**
+ **Proficiency MS Office**
**Preferred Qualifications:**
+ **Previous experience in Supply Chain, Business or Operations, or Financial Planning**
+ **Experience with Supply Chain functions for proposals including BOEs, Subcontractor Content, and the QUEST system.**
+ **Experience in Federal and Defense contracting requirements including ITAR, DOS licenses, FAR and DFARS.**
+ **Experience leading multiple teams at once**
+ **Experience coaching to implementing change with demonstrated results**
+ **Experience with SAP/SAR Program**
**What We Can Offer You** -
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $80,600 - $121,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10153736MarylandMDPrncpl Supply Chain Plng SpecNone5ECE2CF838A246F781F9CF3FFE29B966http://northropgrumman-veterans.jobs/5ECE2CF838A246F781F9CF3FFE29B96623Saint CharlesNorthrop GrummanUnited StatesUSA2024-03-29 06:15:34At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
An organization within Northrop Grumman's Payload and Ground Systems (PGS) division, Cutting Edge Optronics is a leading provider of high-power laser diode arrays, high power pump modules and diode-pumped solid-state (DPSS) lasers. We are a vertically integrated manufacturer capable of supplying unmounted diode bars, packaged laser diodes, DPSS modules, laser diode drivers and complete DPSS laser systems. Our diode laser-based products have become industry standards and are used in a wide variety of commercial and military applications.
Learn more about Cutting Edge Optronics at our website: https://www.northropgrumman.com/who-we-are/cutting-edge-optronics/ .
**Position Description:**
+ Perform design and development of analog circuits, digital circuits, microcontrollers, FPGAs, equipment racks, circuit board assemblies, cable assemblies, and test equipment.
+ Generate design documentation using KiCad, Visio, and other legacy tools as required
+ Develop specifications for, and perform selection of, components for use in electrical equipment.
+ Develop and test control software using Python.
+ Provide engineering support of laser system projects.
**Basic Qualifications:**
+ Bachelors of Science Degree in Electrical Engineering or related STEM field.
+ 5 years of design experience in the areas of analog and digital electronics, microcontrollers, power electronics, PWB/CCA design/development/production, electronics packaging, and FPGAs. 3 years of experience is required with a STEM Master's degree.
+ Ability to communicate clearly and effectively to cross functional team members
+ Ability to work effectively while supporting multiple projects.
+ Possess strong interpersonal skills to work effectively within a development team.
**Preferred Qualifications:**
+ Preferred experience in developing electronic controllers used with laser systems.
+ Preferred experience with schematic capture, MS Office, Visio, Python.
**Salary Range:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Saint Charles, MOR10153990MissouriMOPrincipal Engineer ElectricalNone612F8592C02E47FAACAA8159B95F23D4http://northropgrumman-veterans.jobs/612F8592C02E47FAACAA8159B95F23D423GoletaNorthrop GrummanUnited StatesUSA2024-03-29 06:15:34At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
We are looking for a Design Engineering Manager 1 to support our Northrop Grumman facilities in Oxnard/Goleta CA. (% allocation varying throughout year based on site project goals/needs).The Northrop Grumman Space Systems, Payload and Ground Systems Division, Strategic Business Deployables Operating Unit specializes in deployable systems for spacecraft such as solar arrays, instrument booms, extendible support structures, and reflector boom antennas/deployable booms/masts.
As a key strategic member of the Northrop Grumman Space Components and Strategic Businesses-Deployables leadership team, the **Design Engineering Manager 1** is responsible for leading tooling and ground support equipment design for manufacturing, shipping, and all test tooling (static, dynamic, thermal) at both Oxnard and Goleta sites. Engineering manager will also be responsible for the planning, standardizing, implementing and enhancing product design related to tooling, and processes and methods that support the value stream organization (VSO) and its objectives.
Through collaboration with Engineering product team leads and other functional managers, the Engineering Manager is responsible for resource management and prioritization to provide prompt response and situational resolutions to meet all VSO goals and objectives.
**Primary Responsibilities:**
+ Accountable to the Space Components Director of Engineering Deployables operating unit for meeting the Engineering safety, quality, delivery and cost expectations of internal and external customers within entire product value streams. Ensures plans are developed and executed to meet internal and external customer requirements.
+ Leads team focused on tooling and ground support equipment design for manufacturing, shipping, and all test tooling (static, dynamic, thermal)
+ Subject matter expert on design tools, methods, and standards across value stream
+ Deploy Tooling and Ground Support Equipment engineering resources to meet customer demands.
+ Manage resources in collaboration with Engineering Manager
+ Owns Requisitions, Hiring, Recruiting, and Interaction with HR
+ Escalation, assignments
+ Reviews and employee development
+ Manage Direct Labor Yield (DLY)
+ Verification and validation of work completeness and accuracy (Quality & Consistency)
+ Monitor program performance
+ SQDEC Daily Management
+ Escalation of technical, schedule, and financial issues
+ Lead and/or support peer reviews at product level
+ Track first pass yield and OTD
+ Monitor Program execution and support of SDRL and SOW deliverables
+ Ensure PDR, CDR, TRR technical compliance
+ Drawing release plan
+ Approval and sign off of all drawings in product value stream
+ Tooling and GSE plan and coordination with tool design group, manufacturing engineer, and test engineers
+ Focus value stream lessons learned, best practices, and continuous improvement implementations
+ Review and provide assessment for proposal Basis Of Estimates.
+ Collaborates with Value Stream Owner (Site Operations Leader) for meeting the Engineering safety, quality, delivery and cost expectations of internal and external customers within entire product value streams. Ensures plans are developed and executed to meet internal and external customer requirements.
+ Continuously improve Engineering Daily Management, process standards, tools and risk management. Create, maintain and improve standards for all engineering drawings, specifications and design for manufacturability.
+ Ownership and accountability for effective hand-offs through all functional and process center areas.
+ Collaborate and coordinate with Master Scheduling, Product Value Stream Managers and Business Support Functions (Program Managers, Finance, Contracts, HR, etc.), including proposal support, to achieve product and site goals via sharing of resources, tools, equipment, processes, etc.
+ Provide Engineering leadership and owns employee development and mentoring.
+ Establish and maintain metrics that provide real-time visibility to measure, monitor, and control process performance, including key inputs, outputs, and early warning event triggers.
**Basic Qualifications:**
+ Bachelor's degree, with a minimum of 5 years of relevant work experience, or 3 years experience with a Master's Degree or 15 - 20 years work experience in Aerospace.
+ 3 years leadership experience in a management role or combined years as a technical design lead.
+ Excellent communication skills - presentation, oral, written, and listening.
+ Proven track record of managing direct reports of various disciplines and ability to lead multiple engineering disciplines to reach common goals and objectives.
+ Ability to provide technical communication and coordination with senior leadership.
+ Experience with budget and cost management. Ability to organize and manage multiple products/programs with the focus on delivering within cost and on schedule.
+ Combination of industry knowledge, leadership skills, management expertise and in-depth knowledge of the design engineering process. Strong knowledge in engineering systems and processes such as CAD, PLM and GD&T.
+ Detailed knowledge of mechanical design, structures, mechanisms, release and drive actuators, and material selection.
+ Proven ability to perform problem solving and resolution using lean and continuous improvement tools and tactics to satisfy customer and business needs.
+ Requires knowledge and experience with implementation and execution of process standards, systems, processes, and business tools focused on engineering and technical risk management identification and mitigation.
+ Computer Aided Design Tools - Basic knowledge of computer aided design tools and systems (e.g., computer aided design/computer aided manufacturing (CAD/CAM), computer aided three dimensional interactive (NX), CREO, SolidWorks). Able to manipulate data sets, (e.g., compare NX to measurement data, create stereo lithography files).
+ Ability to travel between the Oxnard, CA and Goleta, CA sites and travel to support customers.
+ Ability to obtain and maintain a Secret Security Clearance
+ US Citizenship required
**Preferred Qualifications:**
+ BS or MS in Engineering
+ ASME GD&T Certification for ASME 14.5-2018
**Salary Range:** $104,600 - $156,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Goleta, CAR10144780CaliforniaCADesign Engineering Manager 1NoneB94FCC1A569E433BBD6F7392FCA836B0http://northropgrumman-veterans.jobs/B94FCC1A569E433BBD6F7392FCA836B023CorinneNorthrop GrummanUnited StatesUSA2024-03-29 06:15:34At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman, Propulsion Systems, is currently seeking an experience **HVAC Engineer** with HVAC design and HVAC control systems background to support our Facilities Engineering organization. This position will be located at **Promontory Utah** .
**Position Description:**
+ Provide engineering designs including load calculations, layout, and conceptual design for HVAC system to support building structures and production processes.
+ Solid understanding of HVAC systems and DDC (Tridium / Niagara) software & applications to be responsible for the design, specifying, programming, graphics design, support, drawing as-builts, supervision and training of plantwide HVAC controls.
+ Work directly with customers to develop new or replacement HVAC control systems, troubleshoot problems, advise on repairs with maintenance.
+ Experience designing and preparing the engineering documents for control system hardware in large scale commercial and industrial project environments in accordance with overall project engineering and architectural requirements.
+ Design, implement, modify, and maintain these HVAC systems and control systems.
+ Diversity of control system knowledge strongly desired Delta, Johnson Controls, MCS, Siemens and Niagara environment expertise.
+ Excellent AutoCAD software design skills and overall knowledge of facility mechanical, electrical and building automation systems.
+ Complex facility experience such as commercial administration buildings, Hi-Tech Manufacturing and Data Center system controls.
+ Provide detailed cost estimates for project design and implementation.
+ Prepare specifications for the procurement of equipment to support the design.
+ Review engineering drawings and designs for manufacturability and functionality.
+ Field engineering required to oversee jobs in work to ensure the implementation of the design is being constructed correctly while maintaining cost and schedule.
**Education/Certifications**
+ Bachelor's degree in Mechanical Engineering plus 2 years' experience.
+ Position may be filled at a higher level requiring a bachelor's degree in Mechanical Engineering plus 5 years' experience.
**Preferred skills:**
+ HVAC refrigeration experience and/or an innate knowledge
+ Proficient knowledge of electrical equipment and schematics
+ Computer skills, AutoCAD software, networking
+ Management skills and good communication skills
+ Ability to troubleshoot (complex) machine control systems
+ Retrofit obsolete HVAC components and systems
+ Valid driver's license
+ Ability to work in the field, climb ladders, work outside and work in congested areas.
**Salary Range:** $75,300 - $112,900
**Salary Range 2:** $92,600 - $139,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Corinne, UTR10151085UtahUTHVAC Design and Control Systems EngineerNoneBE38B174DB744BFEBF4B7CDF36CCDE1Chttp://northropgrumman-veterans.jobs/BE38B174DB744BFEBF4B7CDF36CCDE1C23RoyNorthrop GrummanUnited StatesUSA2024-03-29 06:15:34At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is seeking **Program Cost and Schedule Control Analyst L2/L3** to join our team of qualified, diverse individuals. **This position will be supporting the Sentinel program in Roy, UT.**
**Roles and Responsibilities include, but are not limited to, the following:**
+ Setting up cost control system, monitoring and controlling costs and schedules on contracts requiring validated cost schedule control system.
+ Performing analyses and preparing reports in order to ensure that contracts are within negotiated and agreed-upon parameters and government cost control guidelines.
+ Preparing budgets and schedules for contract work and performs and/or assisting in financial analyses such as funding profiles, sales outlook, and variance analysis.
+ Preparing program plans to ensure program requirements and statement of work are captured and scheduled.
+ Performing schedule risk assessments to identify and mitigate program cost and scheduling risks.
+ Monitoring funding availability by maintaining accurate records of expenditures, directing preparation of expenditure projections, and submitting timely requests for additional funding to the government.
+ Incorporating contractual changes into control systems by staying aware of outstanding work against each contract in order to maintain realistic contract cost and schedule baselines.
+ Performing miscellaneous financial analysis as required.
+ Continually assessing and improving financial reporting processes to enhance efficiencies.
+ Participating in month-end financial reporting close activities.
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
\#GBSDbusmgmt
**This requisition may be filled at either a level 2 or a level 3.**
**Basic Qualifications for a Level 2**
+ Bachelor's degree with 3 years of related professional experience - OR - a Master's degree with 1 years of related professional experience. 7 years of related experience may be accepted in lieu of degree.
**Basic Qualifications for a Level 3**
+ Bachelor's degree with 6 years of related professional experience - OR - a Master's degree with 4 years of related professional experience. 10 years of related experience may be accepted in lieu of degree.
**Additional Basic qualifications for both levels:**
+ Experience with SAP, SAP Business Warehouse, or other ERP system, and Deltek Cobra/MPM.
+ Strong PC skills and proficient knowledge with Microsoft Office Suite, including MS Excel.
+ Strong analytical ability.
+ Ability to obtain and maintain DoD Secret level security clearance. US citizenship is a prerequisite.
**Preferred Qualifications:**
+ Active DoD Secret level security clearance (with the investigation in the past 6 years). US citizenship is a prerequisite.
+ Active SCI (SSBI) or DoD Top Secret security clearance (with a full investigation within the past 6 years).
+ Experience in Accounting, Finance, Business Administration, Program Cost Analysis or Program Scheduling
+ 3+ years of Earned Value Management System (EVMS) experience.
+ Experience with MS Project, or Schedule Risk Assessment software tools.
+ Ability to effectively manage competing priorities and deadlines.
+ Ability to handle multiple tasks in a fast-paced environment.
+ Excellent problem solving and organizational skills.
+ Aerospace/Defense Industry experience.
**Salary Range:** $62,200 - $93,400
**Salary Range 2:** $76,600 - $115,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10149180UtahUTProgram Cost and Schedule Control Analyst L2/L3 - Roy UT (12175/12176)NoneC066FBEB69AF4881B440FDFC91F137B4http://northropgrumman-veterans.jobs/C066FBEB69AF4881B440FDFC91F137B423AnnapolisNorthrop GrummanUnited StatesUSA2024-03-29 06:15:34At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
Northrop Grumman Mission Systems Sector (NGMS) Software Department at Northrop Grumman Oceanic is seeking an Embedded Software Engineer.
**What You'll get to Do:**
The Embedded Software Engineer will be responsible for designing and implementing software solutions for a broad range of embedded applications. The selected engineer will support varied product lines including AI image processing exploitation, digital signal processing, autonomous vehicle software, command and control, Man-Machine Interfaces, sensor/SONAR systems and simulation/stimulation.
Underseas projects use a variety of state of the art software tools, including Yockto Project or similar full-system embedded Linux build tools, Qt, Jenkins, Docker, Java, javascript, web app and network programming tools.
**Roles & Responsibilities:**
+ Development of software functional components, algorithms, device controllers, and graphical user interfaces
+ Develop prototypes, demonstrations and proposals
+ Work in a collaborative Agile software development team environment with continuous integration and test frameworks
+ Assignments may involve any or all of the phases of software development requirements analysis, design, coding, unit testing, integration, acceptance testing and customer support
+ Assignments may involve leading small development teams and mentoring junior engineers.
**Basic Qualifications for Principal Level:**
+ Bachelor's degree or higher in Computer Science, Computer Engineering, Electrical Engineering or other STEM (Science, Technology, Engineering or Mathematics) discipline with 5 years of software engineering experience (3 years for MS degree, 0 years for PhD)
+ US Citizenship required
+ Experience in software development using C++ and/or Python
+ Strong Linux and C++ is a must
+ OpenGL 3D graphics experience
**Preferred Qualifications:**
+ Working knowledge of Apache Kafka
+ QT for GUI development
+ Github experience
+ Gitlab experience
+ MS degree in STEM discipline
+ Embedded software system development
+ Experience with sonar or other digital signal processing systems and applications
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Annapolis, MDR10154352MarylandMDPrincipal Engineer Embedded SoftwareNoneCE91C44E467E4106BAA846AA196C657Dhttp://northropgrumman-veterans.jobs/CE91C44E467E4106BAA846AA196C657D23MagnaNorthrop GrummanUnited StatesUSA2024-03-29 06:15:34At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Propulsion Systems is seeking an Occupational Safety Engineer/Industrial Hygienist to support its Bacchus facility. The selected individual will be involved in a wide array of PSM covered processes involving solid rocket manufacturing, including laboratories, research and development, and testing.
Role description & Responsibilities:
The Occupational Safety Engineer/Industrial Hygienist is responsible for:
• Promoting and maintaining a high level of safety consciousness among employees
• Instilling a safety culture in the workforce to ensure positive engagement with protocols including stopping hazardous processes if anything is unusual.
• Assisting in design reviews for new and modified facilities, processes, equipment and tooling for safety-regulated compliance to help ensure the safety of the employees and the process.
• Assisting in accident/incident investigation to determine causes and corrective actions as needed.
• Performing worksite audits, inspections, and evaluations on the operating floor to identify hazards and ensure compliance with OSHA, federal, corporate, local, and general industry standards.
• Work with other disciplines (e.g., Engineering, Operations, Environmental, Occupational Medicine, Maintenance, etc.) to ensure implemented safety precautions are being followed.
• Conducting safety surveillance of operations to ensure compliance to requirements and procedures.
• Assisting in safety related training courses. This may be preparation of web based training, or instructor led training.
• Assisting Process Engineers with developing written work procedures for processes to ensure safety factors are included and to determine the appropriate placement of warnings and cautions as required.
• Assisting with Industrial Hygiene assessments for new chemicals (e.g., determine appropriate precautions and PPE requirements, etc.).
• Perform monitoring for potential health hazards in the workplace including: Chemical, Particulate, Noise, Heat Stress, Ergonomic, etc. (A basic knowledge of industrial hygiene instrumentation is needed).
• Reviewing process changes in work areas to assess additional risk and determine the appropriate levels of approval.
• Approving conditional access permits to prevent contractor exposure to the QD zones of explosive sited facilities.
• Conducting safety briefings with work crews during process startup meetings to relay pertinent safety information.
• Delivering presentations to all management levels to facilitate process change or summarize mishap investigations.
Education/Certifications/Experience
• For a T1 level: Bachelor's Degree in Occupational Safety, Industrial Hygiene, or related technical field.
• For a T2 level: Bachelor's Degree in Occupational Safety, Industrial Hygiene, or related technical field and two years of relevant experience OR a Master's Degree in Occupational Safety, Industrial Hygiene, or related technical field.
• Technical Skills: Microsoft Office (Word, Excel, PowerPoint), Microsoft SharePoint is a plus.
• Must be a US Citizen or Person.
• Must be able to pass an ATF Employee Possessor background check.
**Salary Range:** $58,800 - $88,200
**Salary Range 2:** $71,100 - $106,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Magna, UTR10151057UtahUTAssociate Occupational Safety Engineer/Occupational Safety EngineerNoneF765CB7CD79B4859A0D4C4D283073BCBhttp://northropgrumman-veterans.jobs/F765CB7CD79B4859A0D4C4D283073BCB23San DiegoNorthrop GrummanUnited StatesUSA2024-03-29 06:15:33At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**NG- Military Internship Program Description:**
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military Internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members **.**
**Responsibilities for this internship position are:**
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for SkillBridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
+ **Goals** - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
+ **Objectives** - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
+ **Outcome** - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
+ Has served at least 180 days on active duty
+ Is within 12 months of separation or retirement
+ Will receive an honorable discharge
+ Has taken any service TAPS/TGPS
+ Has attended or participated in an ethics brief within the last 12 months
+ Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
**Job Description:**
**Northrop Grumman's Mission Systems** and its **Classified Solutions Team** is seeking a well-qualified **Computer Systems Analyst** to join its dynamic team of technical professionals in the **San Diego, CA area** .
Roles and responsibilities include, but are not limited to:
+ Perform as a Windows System Administrator for an enterprise classified network.
+ Manage and maintain secure, operations of Windows servers and workstations.
+ Install, harden, and patch Windows operating systems.
+ Develop and document technical procedures.
+ Read, modify, and create shell script and scripts in various other languages.
+ Learn new technologies on own and work effectively independently, while still coordinating actions as required.
+ Interact with and successfully utilize boards-of-approval to maintain required security controls and configuration management.
+ Perform technical research on Information Technology topics, as requested, and produce presentations and recommendations.
+ Occasional travel to customer or other Northrop Grumman sites in support of troubleshooting, testing, and deployment activities.
+ Lead actions with peer systems administrators, network administrators, security teams, and software and hardware engineers.
The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, and collaborative/team settings across all levels.
**Basic Qualifications:**
+ Associate's degree and 4 years of experience OR Bachelor's degree and 2 years of experience OR Master's degree and 0 years of experience; a High School diploma or equivalent and 6 years of experience may be considered in lieu of a completed degree.
+ Candidates must have a current DoD Secret Security Clearance (or higher) in order to be considered
+ IAM Level I certification (ex: Security + CE) or ability to obtain within 6 months of hire date.
+ Experience managing Windows Server OS.
+ Experience with Windows domain architectures, including but not limited to Active Directory, GPOs, Policies, and permissions.
**Preferred Qualifications:**
+ Bachelor's or Master's Degree in IS related field.
+ Active DoD Top Secret clearance.
+ Experience operating under and managing systems within NISPOM Chapter 8, DCID 6/3-ICD 503, RMF, STIG, JAFAN, or JSIG information system environments.
+ Strong Microsoft Active Directory and Group Policy Management, Exchange, MS SQL, and WSUS.
+ Experience with Servers and storage hardware installation and maintenance.
+ Solid understanding of remediating security vulnerabilities; experience hardening Windows and Redhat systems per RMF and STIGs.
+ VMware and ESXi (6.x or higher) configuration and management experience.
+ Experience working Cisco switches and basics understanding of LAN and WAN networking with a Virtual Desktop Infrastructure (VDI).
+ Windows 7/10 administration experience; Windows Server 2012/2016 experience; Group Policies (GPOs) experience; Active Directory management experience.
+ Solid writing skills and use of SharePoint sites for documentation.
+ DoD 8570 Certification in a primary OS (Windows, Cisco).
ESCSO
ESMilIntern
**Salary Range:** $79,300 - $118,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10154674CaliforniaCANorthrop Grumman (DoD Skillbridge) - Computer Systems AnalystNone32A28674704F4BFDBE0B213492D49270http://northropgrumman-veterans.jobs/32A28674704F4BFDBE0B213492D4927023LinthicumNorthrop GrummanUnited StatesUSA2024-03-29 06:15:33At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Information Systems Security Professionals, We Want You!**
Cyber assets everywhere are under siege from a wide spectrum of threats. Almost daily, these threats grow in sophistication, breadth and speed.
Support our entire enterprise and build the networks, staff the teams, and develop the processes that will keep us defining what's possible. We have opportunities across our enterprise with 90,000 team members throughout the world. The only limit to your growth is your ambition and drive. We want to empower you to bring your best, with resources, support, and talented team members that will launch your career.
At Northrop Grumman, we take a holistic approach to cybersecurity, looking at the whole cyber landscape of people, processes and technology and the whole security realm of offense, defense, and exploitation. Thought leadership demands nothing less.
If you are an expert with classified computers within the Department of Defense (DoD) and Intelligence Community computing environments, Northrop Grumman Corporation has fantastic opportunities for your career growth.
We are seeking experienced **Information Systems Security Professionals** across the country to support information systems lifecycle activities. Responsibilities will include (but not limited to):
+ Perform assessments of systems and networks within the networking environment or enclave and identify where those systems and networks deviate from acceptable configurations, enclave policy, or local policy.
+ Establish strict program control processes to ensure mitigation of risks and supports obtaining certification and accreditation of systems. Includes support of process, analysis, coordination, security certification test, security documentation, as well as investigations, software research, hardware introduction and release, emerging technology research inspections and periodic audits.
+ Assist in the implementation of the required government policy, make recommendations on process tailoring, participate in and document process activities.
+ Perform analyses to validate established security requirements and to recommend additional security requirements and safeguards.
+ Support the formal Security Test and Evaluation (ST&E) required by each government accrediting authority through pre-test preparations, participation in the tests, analysis of the results and preparation of required reports.
+ Document the results of Certification and Accreditation activities and technical or coordination activity and prepare the system Security Plans and update the Plan of Actions and Milestones POA&M.
+ Periodically conduct a complete review of each system's audits and monitor corrective actions until all actions are closed.
This is an Information Systems Security Manager (ISSM) level opportunity. If you are ready to solve complex problems in a dynamic environment, apply today!
Note- Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
**Basic Qualifications:**
+ Master's Degree with 7 years of experience; OR a Bachelor's Degree with 9 years of experience; OR an Associate's Degree with 11 years of experience; OR a High School Diploma/GED with 13 years of experience is required
+ Must have a current DoD 8570 IAM level III security certification (CISM, GSLC, CCISO, CISSP)
+ Candidates must have a **current DOD** **T** **op** **Secret** security clearance (at a minimum) to include a closed investigation date completed within the last 6 years in order to be considered
+ Must have the ability to obtain, and maintain, access to Special Programs as condition of continued employment
**Preferred Qualifications:**
+ The ideal candidate will have a Bachelor's degree in Cyber Security, a DoD 8570 IAM level III security certification (CISM, GSLC, CCISO, CISSP), and 10 years of experience with Certification and Accreditation of classified systems and Risk Management Framework
+ Knowledge of ACAS, NESSUS, SPLUNK, SCAP, POA&Ms, NIST, DIACAP, NISPOM, system audits, vulnerability scanning, and RMF package development preferred
+ Current Top Secret with SAP/SAR access level is highly desirable
**Salary Range:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10151038MarylandMDSr Principal Classified Cybersecurity AnalystNone4BB2145CD9354BCAA53F8818F4E1BE5Dhttp://northropgrumman-veterans.jobs/4BB2145CD9354BCAA53F8818F4E1BE5D23PalmdaleNorthrop GrummanUnited StatesUSA2024-03-29 06:15:33At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Cybersecurity Professionals, We Want You!**
Cyber assets everywhere are under siege from a wide spectrum of threats. Almost daily, these threats grow in sophistication, breadth and speed.
At Northrop Grumman, we take a holistic approach to cybersecurity, looking at the whole cyber landscape of people, processes and technology and the whole security realm of offense, defense, and exploitation. Thought leadership demands nothing less.
If you are an expert with classified computers within the Department of Defense (DoD) and Intelligence Community computing environments, Northrop Grumman Corporation has fantastic opportunities for your career growth.
We are seeking novice level **Cybersecurity Professionals** across the country to support information systems lifecycle activities. The selected candidate will be required to work on-site, full-time at our Palmdale, CA location. The individual will perform the following duties on a day-to-day basis in support of the program:
+ Perform assessments of systems and networks within the networking environment or enclave and identify where those systems and networks deviate from acceptable configurations, enclave policy, or local policy.
+ Establishes strict program control processes to ensure mitigation of risks and supports obtaining certification and accreditation of systems.
+ Includes support of process, analysis, coordination, security certification test, security documentation, as well as investigations, software research, hardware introduction and release, emerging technology research inspections and periodic audits.
+ Assist in the implementation of the required government policy, make recommendations on process tailoring, participate in and document process activities.
+ Perform analyses to validate established security requirements and to recommend additional security requirements and safeguards.
+ Support the formal Security Test and Evaluation (ST&E) required by each government accrediting authority through pre-test preparations, participation in the tests, analysis of the results and preparation of required reports.
+ Document the results of Certification and Accreditation activities and technical or coordination activity and prepare the system Security Plans and update the Plan of Actions and Milestones POA&M.
+ Periodically conduct a complete review of each system's audits and monitor corrective actions until all actions are closed.
Note: Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
**Basic Qualifications:**
+ Master's or Bachelor's degree with 0 years of experience; OR an Associate's degree with 2 years of experience; OR a High School Diploma/GED with 4 years of experience.
+ Current DoD 8570 IAM level I (or higher) security certification (Examples: CND, Cloud+, Security+ CE).
+ Active DoD Top Secret clearance.
+ Must have the ability to obtain and maintain access to Special Programs prior to starting as condition of employment.
**Preferred Qualifications:**
+ Bachelor's degree in Cyber Security
+ DoD 8570 IAM level II (Examples: CAP, CASP+ CE, HCISPP, CISSP-Associate)
+ Experience with Certification & Accreditation of classified systems under RMF (Risk Management Frameworks)
+ Knowledge of ACAS, NESSUS, SPLUNK, SCAP, POA&Ms, NIST, JSIG, system audits, vulnerability scanning, and/or RMF package development
ESCSO
ESCyberInfoSec
**Salary Range:** $72,100 - $108,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10154558CaliforniaCAAssociate Classified Cybersecurity Analyst - Top SecretNone796D979B89D442B2A63181C4E4BFE2C4http://northropgrumman-veterans.jobs/796D979B89D442B2A63181C4E4BFE2C423CincinnatiNorthrop GrummanUnited StatesUSA2024-03-29 06:15:33At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**NG- Military Internship Program Description:**
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military Internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members **.**
**Responsibilities for this internship position are:**
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for SkillBridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
+ **Goals** - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
+ **Objectives** - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
+ **Outcome** - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
+ Has served at least 180 days on active duty
+ Is within 12 months of separation or retirement
+ Will receive an honorable discharge
+ Has taken any service TAPS/TGPS
+ Has attended or participated in an ethics brief within the last 12 months
+ Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
**Job Description** :
**Northrop Grumman Chief Information Office** is seeking a well-qualified **Linux Systems Administrator** to join our qualified, diverse, and dynamic team of technical professionals. This position is located in the **Cincinnati, OH** area.
**Roles and responsibilities will include:**
+ Perform as a Linux Systems Administrator for a classified government contract.
+ Maintain smooth operation of multi-user computer systems, including coordination with network, software, and system engineers, PC desktop technicians, project managers, end users, and customer and IT management.
+ Able to support multiple networks. Ensure necessary administration tasks are completed; direct others as necessary.
+ Analyze internal or external customer requirements and determine equipment and software requirements for solutions to problems by means of automated systems.
+ Recommend and implement system enhancements that will improve the performance and reliability of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Provide backup and recovery services; manage file systems and disk space; manage virus protection on a routine basis.
+ Create and maintain user and computer accounts and modify file permissions and security access lists.
+ Test new releases of products to ensure compatibility and minimize user impact.
+ Develop and document technical processes and procedures as needed.
+ Make recommendations to purchase hardware, software, system components
+ Interact, meet, discuss, and troubleshoot issues with vendors; evaluate vendor products, services, and suggestions.
+ Adhere to strict Information Systems security guidelines in all cases.
+ Maintain security audit and logging information on all classified networked and standalone computers as directed by the Information Systems Security Manager (ISSM).
+ Prepare security documentation for input to Computer Security.
+ Report project status as required for all recurring and non-recurring efforts.
+ Work under minimal direction and independently determine and develop approach to solutions.
+ Lift equipment weighing up to 40 pounds
+ The selected candidate may be required to obtain and maintain program access during their internship.
The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, and collaborative/team settings across all levels.
**Basic Qualifications:**
+ Associate's degree with 4 years of experience; OR Bachelor's degree with 2 years of experience; OR a High School diploma or equivalent and 6 years of experience may be considered in lieu of a completed degree.
+ Must have Linux Operation System experience.
+ Candidates must have a current DOD Top Secret Level Security Clearance (at a minimum) in order to be considered
**Preferred Qualifications:**
+ Experience operating under and managing systems within NISPOM Chapter 8, DCID 6/3-ICD 503, RMF, STIG, JAFAN, or JSIG information system environments
+ Experience installing, configuring, and maintaining computer hardware in a networked environment
+ Server management
+ Currently valid Security+ CE, CASP, CISSP or similar security certification
+ Linux Operating System experience and/or certification for current versions
+ VMWare experience with current version
+ Knowledge of environmental monitoring tools (HBSS/Solar Winds/Splunk)
+ Rudimentary Scripting
+ Creation and deployment of system images in an enterprise environment
+ Experience with Certification & Accreditation process
+ DoD 8570 Certification in a primary OS (Windows, Linux, Cisco)
+ Currently Active Top Secret/SCI Clearance
ESCSO
ESSysAdmin
ESMilIntern
**Salary Range:** $72,100 - $108,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Cincinnati, OHR10154829OhioOHNorthrop Grumman (DoD SkillBridge) - Linux Systems AdministratorNoneDEE2F584170040028932CE58E5CC9D6Chttp://northropgrumman-veterans.jobs/DEE2F584170040028932CE58E5CC9D6C23McLeanNorthrop GrummanUnited StatesUSA2024-03-29 06:15:33At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**NG- Military Internship Program Description:**
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military Internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members **.**
**Responsibilities for this internship position are:**
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for SkillBridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
+ **Goals** - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
+ **Objectives** - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
+ **Outcome** - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
+ Has served at least 180 days on active duty
+ Is within 12 months of separation or retirement
+ Will receive an honorable discharge
+ Has taken any service TAPS/TGPS
+ Has attended or participated in an ethics brief within the last 12 months
+ Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
**Job Description** :
**Northrop Grumman** is seeking a **Principal Cyber Systems Administrator** to join our Mission Operations - Automation & Endpoint, tools and orchestration team of qualified, diverse individuals. **This position will be require frequent on-site support at the NG Fairfax and Mclean, VA office locations.**
Where Technology and Teamwork of qualified, highly skilled and diverse individuals come together... The qualified applicant will become part of Northrop Grumman's OneBadge Team, within the Information Systems Information Security organization that is supporting enterprise level smart card and PKI systems.
**Roles and Responsibilities:**
+ Provide customer support to employees and contractors for the Northrop Grumman Public Key Infrastructure (PKI). This includes the use of smartcards, authentication digital signature and encryption certificates, TLS/SSL code signing, and other types of digital x.509 certificates.
+ Installation of operating systems, application software, and updates; management of data storage; creation and management of user accounts; server security, backups and replication;
+ Testing of data integrity and deployment strategies; troubleshooting and correcting server, client and network configuration issues; analyzing vendor products and making recommendations to purchase hardware and software; and other functions as assigned.
+ Responsibilities include racking and wiring equipment.
+ Ability to work with customers, solve complex problems and communicate effectively.
+ Generate reports on operational problems, plans and progress.
+ Interface with engineers, helpdesk support, project managers and customers as required. This shall include providing status on all non-recurring projects and infrastructure activities, ensuring that all formal processes and work instructions are followed appropriately, and that all customer requirements are met by all engineering designs and installations.
+ Write or contribute to standard operating procedures, practice statements, certificate policies and other documents.
+ Occasional work outside of normal business hours to support operational requirements is required. This is required for system maintenance, patching and upgrades and troubleshooting of operational systems.
+ Support internal and External Audit Requirements
+ On site after hours support is required one or twice Monthly for Upgrades, patching outages and installations.
+ Occasional travel is required at some point in the future
The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, and collaborative/team settings across all levels
**Basic Qualifications:**
+ Associate's degree with 8 years of experience, OR a Bachelor's degree with 6 years of experience, OR a Master's degree with 4 years of experience, OR a High School diploma or equivalent with 10 years of experience may be considered in lieu of a completed degree.
+ Must have experience with Windows 2019 Server and higher operating systems
+ Must have Windows 10/11 Desktop experience
+ Must have Public Key Infrastructure (PKI) experience
+ Must have the ability to rack and wire equipment.
+ Candidate must have a current DoD Secret Security Clearance (or higher) to be considered
**Preferred Qualifications:**
+ Practical (preferred) or theoretical experience working with the following technologies:
+ Firewalls / Rules / Networking
+ Servers (Physical / Virtual)
+ Appliances
+ Server virtualization (VMWare ESX / Nutanix)
+ Server & Workstation provisioning
+ Cloud Technologies
+ Access & Authentication
+ Email Encryption / Digital signatures
+ Web and server TLS certificates
+ Smartcards / Yubikeys
+ Azure Cloud Support
+ Experience with Public Key Infrastructure 2-3 years
+ Experience with Hardware Security Modules (HSMs).
+ Experience with Amazon Web Services (AWS)
+ Linux Administration
+ Professional Certifications
+ Scripting & Automation
+ Code & Time Stamping
ESCSO
ESMilIntern
**Salary Range:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Mclean, VAR10154661VirginiaVANorthrop Grumman (DoD SkillBridge) - Principal Cyber Systems Administrator - Public Key Infrastructure (PKI)NoneEBC695F6A77F494DB46E29C6D0418B26http://northropgrumman-veterans.jobs/EBC695F6A77F494DB46E29C6D0418B2623El SegundoNorthrop GrummanUnited StatesUSA2024-03-29 06:15:32At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Chief Information Office is seeking a Software Development Analyst to support various programs. The position will deploy, research, support, customize, and maintain the suite of Commercial and Open Source applications used by Software and Systems Engineering.
**This requisition may be filled at either a level 2 or a level 3, depending on the candidate's education and experience. Primary location would be El Segundo, CA.**
Responsibilities include (but are not limited to):
+ Configure, deploy and administer commercial and open source software
+ Maintain strong expertise and knowledge of current and emerging technologies and products.
+ Provide best practice, recommendations and guidance to manage SSLM operations at scale
+ Ability to implement a configuration management solution
+ Scripting for DevOps processes
+ Server Configuration
+ CI/CD Automation
+ Docker container deployment
**Basic Qualifications:**
+ Bachelor's degree in Engineering, Computer Science, Mathematics or related STEM discipline with five (5) years of experience OR a MS degree in Engineering, Computer Science, Mathematics or related STEM discipline with three (3) years of experience.
+ Must have demonstrated experience with both Windows and UNIX/Linux operating systems
+ Internet Information Services (IIS) experience
+ Apache experience
+ Active DoD Secret security clearance and ability to obtain Special Program Access (SAP)
+ Possess a DoD 8570 certification at IAT Level II or higher or obtain in 90 day from hire.
**Preferred Qualifications:**
+ Excellent communication skills
+ Load Balancers: Apache, HA Proxy experience desired
+ Exposure to web-based software development or operations
+ Exposure to Cloud technologies
+ Have a broad understanding of development, web operations, SDLC, etc
+ Ability to work well in a team environment
+ Scripting languages: python, PowerShell, or JavaScript
+ Experience with Postgres and MS SQL Server desired
+ Active DoD Secret security clearance with last investigation less than 5 years
+ Possess a DoD 8570 certification at IAT Level II or higher
**Salary Range:** $107,300 - $160,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.El Segundo, CAR10151153CaliforniaCASoftware Development Analyst - Principal LevelNone0C8F3AAB15A0479B93812E5B41DB0E3Bhttp://northropgrumman-veterans.jobs/0C8F3AAB15A0479B93812E5B41DB0E3B23ClearfieldNorthrop GrummanUnited StatesUSA2024-03-29 06:15:32At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has openings for **Associate** **Production/Production Planner Level 1/2** to join our team of qualified, diverse individuals. The selected candidates will support manufacturing planning related to aircraft manufacturing (composite, metallic, and assembly). The shift is **Friday, Saturday & Sunday from 6:00am - 6:00pm** . This position is located in **Clearfield, Utah.** Want to work on the cutting edge of aerospace? Come join us!
**Responsibilities:**
+ Plans sequence of fabrication, assembly, installation, and other manufacturing operations relating to specific portion of the product for guidance of production workers
+ Plans detail operations from blueprints, engineering orders, change notices, and other engineering releases.
+ Determines the need for tooling and makes recommendations for the design and planning of required tools.
+ Prepares shop work orders for materials and product processing and coordinates with schedulers to ensure that items are being processed according to specifications and time requirements.
+ Maintains progress reports, schedules, and other related records.
**Basic Qualifications:**
**Associate Production Planner Level 1**
+ High School diploma or equivalent and 0 years of experience.
+ Active DoD Secrete clearance.
**Basic Qualifications:**
**Production Planner Level 2**
+ High School diploma or equivalent and 2 years additional education and/or experience in Manufacturing, Production, Planning, Aerospace or Aeronautics.
+ Experience in working with aerospace specifications and drawings.
+ Active DoD Secrete clearance.
**Preferred Qualifications:**
+ Experience authoring manufacturing procedures.
+ Special Access Program.
+ Experience in working with aerospace specifications and drawings.
+ Pursuing Bachelors STEM Degree
+ Experience with Microsoft Office applications.
+ Experience working in an aerospace manufacturing environment.
+ Experience creating and maintaining MBOMs.
+ Experience in the areas of composite hand layup, bonding, cure, fastened assembly, subsystems installation, metallic hardware or machining experience.
+ Functional knowledge of production control systems (SAP/Visual Mfg/etc)
+ Preference will be given to individuals already accessed to Special Access Programs
+ Experience in the use of CAD software
**What We Offer:**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer exceptional benefits/healthcare, a great 401K matching program, and 14 Employee Resource Groups inclusive of all employees!
**Salary Range:** $38,600 - $64,300
**Salary Range 2:** $45,800 - $76,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10154686UtahUTAssociate Production/Production Planner Level 1/2 (C-Shift(Weekend Shift))None2AC09BE3AB294F79B73164DD4E50201Dhttp://northropgrumman-veterans.jobs/2AC09BE3AB294F79B73164DD4E50201D23TindalNorthrop GrummanAustraliaAUS2024-03-29 06:15:32We're building a reputation as the place to be in Defence. With strong local management, sound investment and a fresh approach, we're on an exciting trajectory and building unique capability. Leveraging the kind of leading-edge US capabilities and technology most can only imagine our close-knit 800-strong team is busy delivering World-leading innovative and sustainable solutions to safeguard Australia's future. Together we're growing a business where everyone contributes and everyone matters.
Northrop Grumman's MQ-4C Triton Unmanned Aircraft System (UAS) provides real-time intelligence, surveillance and reconnaissance (ISR) over vast ocean and coastal regions. The aircraft can fly for more than 24 hours at a time, at an altitude above 55,000 feet and an operational range of 8,200 nautical miles. Australia is a cooperative program partner in the Triton program which consists of the US Navy, Royal Australian Air Force and Northrop Grumman.
**Your role:**
We're looking for an experienced Triton Tool Management System (TMS) Coordinator to join our team and play a pivotal role in our maintenance operations. This position involves managing the TMS, coordinating the distribution and return of tooling, Support and Test Equipment (S&TE), and Ground Support Equipment (GSE) to maintenance personnel. Further, you'll be responsible for forecasting S&TE/GSE maintenance and calibration needs and ensuring the efficient operation of the Tool Store on a daily basis.
You will provide counter service for tooling issues and returns, manage GSE requests and keep the NGA Tool Management System up-to-date and compliant with maintenance and calibration standards. Your duties will also include overseeing calibration and servicing activities for GSE/S&TE, managing callout reports, and ensuring all items are serviced regularly and meet compliance standards.
**About you:**
You will have a General Engineering Certificate or related experience, strong organisational skills, and proficiency in tool management systems. Attention to detail, the ability to collaborate effectively, and adherence to NGA's policies and procedures are essential. Your proactive involvement in maintenance planning and representing NGA across various business areas will be crucial.
**What's in it for you:**
Whatever your role, life stage, or background, you can shape your career here in your way with highly challenging work, great colleagues, and career development, plus a range of flexible benefits and working patterns.
+ Commitment to your Professional Development - further education, leadership development, professional industry memberships, and unlimited access to a range of online training
+ Options for flexible & hybrid work including 9-day fortnight
+ Purchased annual leave
+ Salary packaging including novated car leases
+ Generous paid parental leave
+ Volunteer Leave
+ Health & Wellbeing program
+ Employee Assistance Program
Defining Possible means something different to each one of us. What's Your Possible?
Doing the Right Thing and Sharing Success are two values underpinning how we behave at Northrop Grumman. Here, everyone matters and we proudly encourage individuals from all backgrounds and all abilities to apply to join our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.
**Note: As a Defence security clearance is required for this role, you will need to be an Australian Citizen. International Traffic in Arms Regulations (ITAR) are applicable, so your nationality may be a factor in determining your suitability for this role.**
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.Tindal, AUSR10140143GSE and Tool Store CoordinatorNone2F10645319514CBF951A9B5D9D07FBAEhttp://northropgrumman-veterans.jobs/2F10645319514CBF951A9B5D9D07FBAE23FairfaxNorthrop GrummanUnited StatesUSA2024-03-29 06:15:32At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
Northrop Grumman Space Systems Sector is looking for you to join our team as a **Principal / Sr. Principal Software Enginee** r based out of **Morrisville NC** , **Fairfax VA** , or **Linthicum MD** .
We are looking for experienced Software Engineers that will work with a team in the area of Space Vehicle Management. Successful candidates will be experienced Java, C++, some knowledge with Python, and with developing in and for cloud-based Linux based systems using containerization technologies such as Docker and Kubernetes.
This is a great opportunity for flexible self-starters to work in a dynamic environment with real world technical content supporting several tools and systems. Plenty of opportunity for career advancement into leadership positions.
The software engineer designs, develops, documents, tests and debugs applications software and systems that contain logical and mathematical solutions. Conducts multidisciplinary research and collaborates with equipment designers and/or hardware engineers in the planning, design, development, and utilization of electronic data processing systems for product and commercial software. Determines computer user needs; analyzes system capabilities to resolve problems on program intent, output requirements, input data acquisition, programming techniques and controls; prepares operating instructions; designs and develops compilers and assemblers, utility programs, and operating systems. Ensures software standards are met.
**Basic Qualifications:**
+ **Principal Software Engineer** : BS in STEM discipline with 5+ years of related experience, MS with 3+ years of related experience, PhD with 0+ years of related experience, or 9+ years of experience may be substituted in lieu of a degree
+ **Sr. Principal Software Engineer** : BS in STEM discipline with 9+ years of related experience ; 7 years with a Master's; 4 years with a PhD; or 14 years of related experience in lieu of a degree
+ Current/Active TS/SCI
+ Candidate must be able to obtain a Counterintelligence Polygraph ( **CI-Poly** )
+ Experienced Java/C++ programmer
+ Familiarity with developing and deploying software into a Red Hat Linux environment of the above languages
**Preferred Qualifications:**
+ Experience developing satellite modelling or tasking software
+ Experience using Docker, Kubernetes, or similar
+ Experience with Python
+ Experience with AWS or similar
+ Current Counterintelligence Polygraph ( **CI-Poly** ) completed within the last 5 years
+ Experience with SDLC process
+ Experience with Agile SW development process
+ Experience with the Atlassian tool suite (Jira, Confluence, Bitbucket)
**Salary Range:** $95,100 - $157,000
**Salary Range 2:** $117,900 - $194,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fairfax, VAR10154724VirginiaVASpace Principal/Sr. Principal Software Engineer (Active TS/SCI REQUIRED)None88C7AA05780B489B888DDE3DD35C632Bhttp://northropgrumman-veterans.jobs/88C7AA05780B489B888DDE3DD35C632B23ClearfieldNorthrop GrummanUnited StatesUSA2024-03-29 06:15:32At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for **a Production Planner and Scheduler** to join our team of qualified, diverse individuals.
This position will be located in **Clearfield, Utah.**
**These roles may be filled a level 2 or 3 based on the qualifications outlined below.**
**Positions available on A Shift (weekday days)**
The **Production Planning and Scheduler** will be expected to:
+ Create and coordinates production schedules along with ensuring materials, and planning are available for production.
+ Scheduling all program needs on one integrated schedule.
+ Understanding of product movement, load level at a resource level.
+ Advises management and master scheduler of production status and escalate problems to ensure labor, tools, equipment, and services are provided as needed.
+ Coordinates with other internal departments to ensure the movement of parts are timely.
+ Works with support functions to ensure supporting documents are available before production.
+ Coordination of product movement across the value stream.
+ Plans, prepares, issues and controls production schedules and coordinates with material requirements to ensure a controlled flow of approved materials timed to meet production requirements.
+ Advises management of the status of work in progress, material availability, and potential production problems to ensure that personnel, equipment, materials and services are provided as needed.
+ Schedules equipment and personnel, confirms material supply and demands, and prepares work orders for the production or purchase of components or parts based on a master production schedule, shop load and inventory requirements.
+ Coordinates interdepartmental activity with quality assurance, manufacturing, purchasing, engineering, inventory control, traffic, etc.; schedules and expedites the movement of parts by means of move orders, stock transfers and requests for shipping orders.
**Basic Qualifications**
**Production Planner Scheduler (Level 2)**
+ 7 years of experience with a HS diploma, 3 years of experience with a bachelor's degree, 1 year of experience with a master's degree. Experience must be in supply chain, procurement, logistics or another relevant field.
+ **Current active DoD security clearance at the Secret level**
+ Ability to obtain special program access
+ Master scheduling background
+ ERP/MRP experience
**Principal Production Planner Scheduler (Level 3)**
+ 10 years of experience with a HS diploma, 6 years of experience with a bachelor's degree, 4 years of experience with a master's degree. Experience must be in supply chain, procurement, logistics or another relevant field.
+ **Current active DoD security clearance at the Secret level**
+ Ability to obtain special program access
+ Master scheduling background
+ ERP/MRP experience (Costpoint/SAP)
**Preferred Qualifications:**
+ Special Program Access
+ Leadership/Lead experience preferred
+ Material planning experience
+ Experience working in a manufacturing environment
+ Experience with Manufacturing Execution System (Solumina)
**Salary Range:** $56,600 - $85,000
**Salary Range 2:** $69,600 - $104,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10154856UtahUTProduction Planner and Scheduler (Level 2 or Level 3) CLEARANCE REQUIREDNoneC18D4C03A5EB49B691D1F3A3E016E76Ahttp://northropgrumman-veterans.jobs/C18D4C03A5EB49B691D1F3A3E016E76A23Annapolis JunctionNorthrop GrummanUnited StatesUSA2024-03-29 06:15:32At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
This position description does not represent a current opening but may be used to identify candidates with skills and experience for positions within Northrop Grumman that frequently become available. Candidates who express an interest may be considered for future positions at Northrop Grumman.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators, who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we will support yours of expanding your personal network and developing skills, whether you are new to the field or an industry thought-leader. At Northrop Grumman, you will have the resources, support, and team to do some of the best work of your career.
The Sensing & Secured Solutions Teams are hiring! We are seeking an experienced Sr. Java Developer to work as a member of an Agile team developing a high-visibility, mission critical component of a large system. The new team member will be working with DevOps, containerization, deployment to and operation on Linux, API development, requirements development, and model-based systems engineering.
The Sr. Java Developer will develop, maintain, and enhance complex and diverse software systems (e.g. processing-intensive analytics, novel algorithm development, manipulation of extremely large data sets, real-time systems, and business management information systems) based upon documented requirements. This person will be responsible for working individually or as part of a team to review and test software components for adherence to the design requirements and documents test results. The team is seeking a talented engineer who resolves software problem reports, utilizes software development and software design methodologies appropriate to the development and software design methodologies appropriate to the development environment. The successful candidate shall have experience with creating specific input to the software components of system design to include hardware/software tradeoffs, software reuse, use of Commercial Off-the-Shelf (COTS)/ Government Off-the Shelf (GOTS) in place of new development, and requirements analysis and synthesis from system level to individual software components. This position requires the developer to perform extensive research as well software development.
Basic Qualifications:
- 14 years of experience as a Software Engineer in programs and contracts of similar scope, type, and complexity with a bachelor's degree in Computer Science or related discipline from an accredited college or university. - 18 years of experience as a SWE in programs and contracts of similar scope, type, and complexity with no degree can also be accepted.
- A current and active TS/SCI Clearance with Polygraph is required.
- An ability to develop, maintain, and enhance complex and diverse software systems.
- This position requires past experience in Java Software Development
Preferred Qualifications:
- An ability to analyze user requirements to derive software design and performance requirements
- An ability to design and code new software or modify existing software to add new features
- Experience with debugging existing software and correcting defects
- An ability to integrate existing software into new or modified systems or operating environments
- Experience with developing simple data queries for existing or proposed databases or data repositories
- Assisting with developing and executing test procedures for software components
**Salary Range:** $181,400 - $272,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Annapolis Junction, MDR10154615MarylandMDStaff Cyber Software EngineerNoneEC7895A665054742A6AA157C38169172http://northropgrumman-veterans.jobs/EC7895A665054742A6AA157C3816917223VenturaNorthrop GrummanUnited StatesUSA2024-03-29 06:15:31At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our Engineering and Sciences (E&S) organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
We are seeking a self-starting, motivated **Principle Mechanical Engineer or Sr. Principal Mechanical Engineer** to join our dedicated Southern California field engineering team. The team designs, develops, tests, delivers, and operates advanced prototypes and unique marine systems.
**What You'll Get To Do:**
The candidate will have the opportunity to support a variety of unique mechanical equipment designed for marine applications. This involves a broad spectrum of engineering disciplines including mechanical design; structural analysis; thermal analysis; pressure vessels; material selection; hydraulic and pneumatic system design, component selection, troubleshooting and repair; and metallic and non-metallic fabrication techniques that will leverage the candidate's existing skills and challenge them to develop new skills. Candidate will apply basic knowledge of design and analysis tools and employ the application of general standards, principals, theories, concepts, and techniques to perform tasks.
**Roles & Responsibilities:**
+ Innovate conceptual designs involving hydraulics, pneumatics, structures, and/or mechanisms per customer and mission requirements
+ Design for manufacturability and maintainability
+ Execute through mechanical analysis of the design, detailed drawing creation, and system installation while managing cost, scope, and schedule of the design
+ Select and analyze material for strength, weight efficiency, and corrosion considerations
+ Write documentation for production and maintenance procedures
+ Support design through system installation, testing, operation, and training
"This opportunity can be filled as a Principal Mechanical Engineer or Sr. Principal Mechanical Engineer based on the qualifications listed below."
**Basic Qualifications for Principal Mechanical Engineer:**
+ Bachelors Degree in a STEM field and a minimum of 5 years of relevant experience. Or a minimum of 3 years of relevant experience with a Master's degree in STEM may also be accepted.
+ Experience with 3D CAD model based software and analysis tools
+ Experience with MS Office suite tools
+ Experience with mechanical design
+ Active Top Secret clearance with ability to obtain SCI eligibility and with the ability to obtain Special Program Access (SAP) prior to starting.
**Basic Qualifications for Sr. Principal Mechanical Engineer:**
+ Bachelors Degree in a STEM field and a minimum of 9 years of relevant experience . Or a minimum of 7 years of relevant experience with a Master's degree in STEM may also be accepted.
+ Experience with 3D CAD model based software and analysis tools
+ Experience with MS Office suite tools
+ Experience with mechanical design
+ Active Top Secret clearance with ability to obtain SCI eligibility and with the ability to obtain Special Program Access (SAP) prior to starting.
**Preferred Qualifications:**
+ SolidWorks 3D CAD software with simulation experience
+ Marine environment engineering experience
+ Proficient with textbook calculations and finite element analysis
+ Experience with cranes and weight handling equipment
+ Experience with hydraulic and pneumatic design, component selection, and troubleshooting
+ Strong teamwork skills with both immediate team and customer counterparts
+ Desire to deploy with customer on a rotational basis
+ Active Top Secret/SCI
**Salary Range:** $97,500 - $146,300
**Salary Range 2:** $120,900 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Ventura, CAR10154482CaliforniaCAPrincipal Mechanical Engineer or Sr. Principal Mechanical EngineerNone446CC97C05DC474E902E1731A775B76Bhttp://northropgrumman-veterans.jobs/446CC97C05DC474E902E1731A775B76B23AuroraNorthrop GrummanUnited StatesUSA2024-03-29 06:15:31At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Principal Mission Assurance Software Engineer or Sr Principal Mission Assurance Software Engineer**
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
**What You'll Get to DO:**
+ Develop, modify, apply and maintain quality evaluation and control systems and protocols for processing Software and Materials into partially finished or finished product.
+ Collaborate with software engineering and systems engineering functions to ensure quality standards are in place.
+ Devise and implement methods and procedures for inspecting, testing and evaluating the precision and accuracy of software and completed systems.
+ Design and analyze inspection and testing processes, mechanisms and equipment.
+ Conduct quality assurance tests and performs statistical analysis to assess the cost of and determine the responsibility for software products or materials that do not meet required standards and specifications.
+ Audits quality systems for deficiency identification and correction.
+ Ensures that corrective measures meet acceptable reliability standards and that documentation is compliant with requirements.
+ Assists Software Engineering in reporting metrics to the SCRUM process including monitoring and assisting with the Epics, Sprints, Spirals, and Discrepancy Reports (DR) entry, resolution, closure, and metrics around DR.
+ Assist and sometimes sub in where needed as a Hardware quality engineer.
+ Cross-trained to the hardware quality engineer positions in the department.
**Basic Qualifications of a Principal Mission Assurance Software Engineer:**
+ Bachelor's degree with 5 years of related experience; master's degree with 3 years of related experience; PhD with 0 years of related experience; or an additional 4 years of experience may be considered in lieu of degree.
+ **Current DoD Top Secret clearance with SCI or equivalent clearance with the ability to obtain SCI access.**
+ Demonstrated experience quickly learning new concepts and approaches.
+ Proficiency in one or more of the following: C++, Java, or C
+ Familiarity with AS9100 based quality system implementation and maintenance.
+ Familiarity A9100 auditing experience and/or auditor training
+ Understanding of software engineering principles, practices, and theories
+ Experience with Agile software development methodology.
**Basic Qualifications of a Sr Principal Mission Assurance Software Engineer:**
+ Bachelor's degree with 9 years of related experience; master's degree with 7 years of related experience; PhD with 4 years of related experience; or an additional 4 years of experience may be considered in lieu of degree.
+ **Current DoD Top Secret clearance with SCI or equivalent clearance with the ability to obtain SCI access.**
+ Demonstrated experience quickly learning new concepts and approaches.
+ Proficiency in one or more of the following: C++, Java, or C
+ Familiarity with AS9100 based quality system implementation and maintenance.
+ Familiarity A9100 auditing experience and/or auditor training
+ Understanding of software engineering principles, practices, and theories
+ Experience with Agile software development methodology.
**Preferred Qualifications:**
+ Experience using SAP Business Suite
+ Experience in Root Cause Investigation
+ Technology and platforms: Linux Red Hat, git, Maven/Atlassian suite, Docker/Kubernetes, Java Web Development
+ Fundamental design principles behind a scalable application
+ Coding and design of algorithmic applications
+ Ability to collaborate with subject matter experts (SMEs), to generate engineering artifacts for handoff to software development.
+ Demonstrated problem solving and decision-making skills.
+ Excellent communication, interpersonal skills, with the ability to interface with all levels of employees and management.
+ Ability to understand and work with multiple enterprise-wide systems and software problem tracking tools such as DOORs, Jira, Confluence, and Bitbucket
**Salary Range:** $93,000 - $139,600
**Salary Range 2:** $115,400 - $173,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Aurora, COR10154748ColoradoCOSr. Principal SW Quality Engineer/Principal SW Quality EngineerNoneAE6AE78146614D9995AA2F80F42B044Chttp://northropgrumman-veterans.jobs/AE6AE78146614D9995AA2F80F42B044C23MelbourneNorthrop GrummanUnited StatesUSA2024-03-29 06:15:31At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is seeking an **Associate Software Engineer/Software Engineer** . This position will be located at our Aeronautics Systems Sector in **Melbourne, FL** . The qualified candidate will become part of Northrop Grumman's **Test & Evaluation** directorate.
The selected candidate will design, develop, document, test and debug applications software and systems that contain logical and mathematical solutions. Other duties include:
+ Conduct multidisciplinary research and collaborate with equipment designers and/or hardware engineers in the planning, design, development, and utilization of electronic data processing systems for product and commercial software.
+ Determine computer user needs.
+ Analyze system capabilities to resolve problems on program intent, output requirements, input data acquisition, programming techniques and controls.
+ Prepare operating instructions.
+ Design and develop compilers and assemblers, utility programs, and operating systems.
+ Ensures software standards are met.
This requisition may be filled at either an Associate Software Engineer level (T01) or a Software Engineer level (T02).
**Basic Qualifications for an Associate Software Engineer (T01):**
A candidate, regardless of age and hiring source, must meet ALL of the below criteria. The candidate must:
+ Be completing or has completed their Bachelor's degree from an accredited institution.
+ STEM Degree such as Mathematics, Physics, Computer Science, Engineering, and other related degrees.
+ Have an overall cumulative GPA of 3.0/4.0 or higher (unofficial academic transcripts must be provided at time of application by uploading the documents to your application or profile)
+ This position requires the ability to obtain and maintain a DOD Secret or Top Secret Clearance as well as Program Special access within a reasonable period of time, as determined by the company to meet its business needs (U.S. citizenship is a pre-requisite).
**Basic Qualifications for a Software Engineer (T02):**
A candidate, regardless of age and hiring source, must meet ALL of the below criteria. The candidate must:
+ Be completing or has completed their Master's degree from an accredited institution or is completing or has completed their Bachelor's degree from an accredited institution and has 2 years of software engineering experience.
+ STEM Degree such as Mathematics, Physics, Computer Science, Engineering, and other related degrees.
+ Have an overall cumulative GPA of 3.0/4.0 or higher (unofficial academic transcripts must be provided at time of application by uploading the documents to your application or profile)
+ This position requires the ability to obtain and maintain a DOD Secret or Top Secret Clearance as well as Program Special access within a reasonable period of time, as determined by the company to meet its business needs (U.S. citizenship is a pre-requisite).
**Preferred Qualifications for both an Associate Software Engineer (T01) & Software Engineer (T02):**
+ Have an overall cumulative GPA of 3.25/4.0 or higher.
+ Previous internship/co-op or other work experience, leadership & teamwork capabilities, interpersonal communication skills, and activities (professional/community/extracurricular).
**Pay Range:**
+ Associate Software Engineer (T01): $60,600 - $91,000
+ Software Engineer (T02): $73,400 - $110,000
campusjobs
+ For a broader consideration, please consider completing a profile in our campus candidate community. By clicking on the link below your resume will be visible to recruiters and hiring managers across Northrop Grumman with opportunities nationwide for our internship and entry-level positions. https://northropgrumman.yello.co/app/collect/form/9iuA6\_W8E7bMDHTOHUZoWw
**Salary Range:** $60,600 - $91,000
**Salary Range 2:** $73,400 - $110,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10126920FloridaFL2024 Associate Software Engineer/Software EngineerNoneBEB5952CA7E84FB5BC3ED4D6B8B9C91Dhttp://northropgrumman-veterans.jobs/BEB5952CA7E84FB5BC3ED4D6B8B9C91D23ClearfieldNorthrop GrummanUnited StatesUSA2024-03-29 06:15:30At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
**Northrop Grumman Aeronautics Systems** has openings for **Associate Engineer / Engineer** **Manufacturing** to join our team of qualified, diverse individuals. The selected candidates will support process design and respond to production issues related to aircraft manufacturing (composite layup, cure, machining, assembly, metallic assembly, and subsystems). The **shift** for this role is **Friday** , **Saturday** and **Sunday** from **6:00 am to 6:00 pm** . **10% shift differential** applies. **This position is located at our Clearfield, Utah facility.**
Many individuals have a desire to work on secured military programs, but they lack the required DoD clearance. This posting employs the Northrop Grumman Talent Reserve process which enables qualified applicants to obtain a DoD clearance, thus enabling them to work on secure programs.
Want to work on the cutting edge of aerospace? Come join us!
**Responsibilities** :
+ Develops manufacturing process structure - instructions, materials, tooling, equipment, and software required to fabricate the product.
+ Identifies process improvements, captures feedback from Operations, and incorporates into the manufacturing process.
+ Creates and maintains the planning work instructions.
+ Reviews design changes and specification changes and ensures they are captured in the manufacturing process.
+ Promptly responds to technical issues that arise on the production floor utilizing work instructions, design models, and specifications.
+ Clarifies work instructions to Operations and crafts modifications as needed.
+ Leads multifunctional teams to solve production issues.
+ Documents issues and disseminates information to core manufacturing engineering for incorporation into future releases.
+ Resolves issues by collaborating with other resource groups as needed.
+ Gets involved in MRB repairs and special processes to assist Operations as needed.
+ Performs PFMEA and RCCA investigations.
+ Creates and maintains MBOMs.
The ideal candidate thrives in a fast-paced work environment with diverse assignments. Our team is highly collaborative, working in team settings across all levels and subject areas including operations, design engineering, supply chain, and mission assurance. We enjoy working in a production environment and being hands on to solve problems that influence company performance.
Positions are available on day, night, and weekend shifts. Night and weekend shifts include a pay differential.
**This role may be filled at a Level 1 or Level 2 based on the qualifications below.**
**Basic Qualifications:**
**Associate Engineer Level 1:**
+ Bachelor's Degree in STEM Field (Science, Technology, Engineering or Mathematics) and 0 years of work experience.
+ Active DoD Secret Clearance.
+ Ability to work C-shift "6:00am - 6:30pm", Friday, Saturday & Sunday.
**Basic Qualifications:**
**Engineer Manufacturing Level 2:**
+ Bachelor's Degree in STEM Field (Science, Technology, Engineering or Mathematics) and 2 years of work experience in Manufacturing, Production, Industrial, Design, Aerospace, or Mechanical engineering.
+ Active DoD Secret Clearance.
+ Ability to work C-shift "6:00am - 6:30pm", Friday, Saturday & Sunday.
**Preferred Qualifications**
+ Active DoD Secret Security Clearance
+ Special Program Access Clearance.
+ GD&T experience in aircraft manufacturing
+ Proficiency with NX11
+ Experience with aircraft composites layup, material curing, and trim processes
+ Experience with aircraft assembly of composite, aluminum, and titanium components
+ Experience with wiring and hydraulic installation
+ Liaison / Floor production engineering experience
+ Work instruction planning experience.
+ Experience with formal root cause and corrective action processes (PFMEA, Fault Tree, etc.)
+ Project leadership experience
+ Fluent with PDM, MRP, and EWI business systems
**What We Offer:**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer exceptional benefits/healthcare, a great 401K matching program, and 14 Employee Resource Groups inclusive of all employees!
**Salary Range:** $55,100 - $82,700
**Salary Range 2:** $66,600 - $99,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10154699UtahUTAssociate Engineer/ Engineer Manufacturing (Weekend Shift) 6:00am - 6:00pmNone16F52EF283904FBFB2E40AF5AF21FB64http://northropgrumman-veterans.jobs/16F52EF283904FBFB2E40AF5AF21FB6423ChandlerNorthrop GrummanUnited StatesUSA2024-03-29 06:15:30At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Space PMO organization is **seeking a Computer Systems Analyst at its Chandler, AZ location** . The selected candidates primary focus will be Linux administration support and enclave compliance activities, however strong Windows skill are desired as well.
**Job duties will include:**
Develops computer systems specifications that address business requirements and that fit with the company's system architecture standards. Establishes and documents system parameters and formats, ensures hardware and software systems compatibility and coordinates and/or modifies system parameters in terms of existing and projected computer capacity and capabilities. Revises existing systems and procedures to correct deficiencies and maintain more effective data handling, conversion, input/output requirements, and storage.
• Provide technical support for computers and associated networks.
• Provide client and server hardware or software support.
• Connect clients, servers, and terminals to existing data networks.
• Instruct users in the use of hardware and networks.
• Investigates information, network, and communications needs of users, and makes recommendations regarding software and hardware purchases.
• Assist InfoSec with DFARS, CMMS compliance responsibilities.
**Possible on call rotation as required. This role has flexible work hours, with after-hours support occasionally.**
**Specific responsibilities supporting Engineering and Manufacturing Operations:**
• Off-network and lab environments - setup, maintenance, compliance and vulnerability remediation; support client and server domain migration.
• Engineering and Manufacturing system support - setup, maintenance, troubleshoot compliance and vulnerability remediation.
• Setup and configure rack mount workstations.
• Server application support.
• Engineering application support - install, configure, and troubleshoot engineering applications
• Network data port activation and testing.
• Plus, other duties as required.
**Basic Qualifications:**
+ At Minimum, a Bachelor's Degree with 2 years of relevant experience OR an Associate's Degree with 4 years of relevant experience OR a High School Diploma/GED with 6 years of relevant experience.
+ Must have Linux administration experience (RedHat Enterprise Linux is preferred)
+ Experience supporting manufacturing operations, hardware, software, etc.
+ Ability to work independently; appropriately escalate issues and roadblocks to leadership.
+ Superior customer service and service delivery. Maintains composure in difficult situations, with challenging customers.
+ Demonstrates personal integrity and high ethical standards in customer contacts as well as all internal relationships.
+ Ability to master unfamiliar information, tasks, and situations quickly and effectively.
+ Excellent communication skills, written and verbal.
+ A DOD 8570 IAT level 2 baseline certification (example: Security+ CE); OR must have the ability to obtain one within 6 months of start date.
+ Ability to lift equipment weighing up to 40 pounds.
+ Ability to work after hours and weekends as needed.
+ Experience with engineering applications.
+ Ability to manage multiple priorities and time-sensitive mission critical needs.
+ Ability to obtain and maintain a DoD Secret level clearance if required.
+ Strong troubleshooting abilities
+ Ability to work after hours and weekends, as needed.
+ Strong understanding of networking principles (such as the OSI model).
**Preferred Qualifications:**
+ Experience operating under and managing systems within NISPOM Chapter 8, DCID 6/3-ICD 503, RMF, STIG, JAFAN, or JSIG information system environments.
+ RedHat System Administration certification(s)
+ Experience with NAS storage device administration.
+ Familiarity with DFARS and NIST InfoSec controls.
+ Solid understanding of Enterprise-level storage concepts to include all commonly used RAID-levels.
+ RedHat Satellite Server configuration and usage experience
+ Solid understanding of remediating security vulnerabilities; Experience hardening RedHat systems in accordance with RMF and STIGs
+ Experience with host-based firewall implementations and configuration (RedHat "iptables")
+ Experience building custom "rpm" software packages for RedHat platforms.
+ Solid writing skills and use of Wiki pages and SharePoint sites for documentation
+ Currently valid Security+ CE, CASP, CISSP or similar security certification
**Salary Range:** $68,500 - $102,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Chandler, AZR10154294ArizonaAZComputer Systems AnalystNone658757DAF815414E922A8D9EF001E540http://northropgrumman-veterans.jobs/658757DAF815414E922A8D9EF001E54023FairfaxNorthrop GrummanUnited StatesUSA2024-03-29 06:15:30At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
Northrop Grumman Space Systems Sector is looking for you to join our team as a **Software Engineer** based out of **Morrisville NC** , **Fairfax VA** , or **Linthicum MD** .
We are looking for experienced Software Engineers that will work with a team in the area of Space Vehicle Management. Successful candidates will be experienced Java, C++, some knowledge with Python, and with developing in and for cloud-based Linux based systems using containerization technologies such as Docker and Kubernetes.
This is a great opportunity for flexible self-starters to work in a dynamic environment with real world technical content supporting several tools and systems. Plenty of opportunity for career advancement into leadership positions.
The software engineer designs, develops, documents, tests and debugs applications software and systems that contain logical and mathematical solutions. Conducts multidisciplinary research and collaborates with equipment designers and/or hardware engineers in the planning, design, development, and utilization of electronic data processing systems for product and commercial software. Determines computer user needs; analyzes system capabilities to resolve problems on program intent, output requirements, input data acquisition, programming techniques and controls; prepares operating instructions; designs and develops compilers and assemblers, utility programs, and operating systems. Ensures software standards are met.
**Basic Qualifications:**
+ BS in STEM discipline with 2+ years of related experience ; 0 years with a Master's or higher; or 6 years of related experience in lieu of a degree
+ Current/Active TS/SCI
+ Candidate must be able to obtain a Counterintelligence Polygraph ( **CI-Poly** )
+ Experienced Java/C++ programmer
+ Familiarity with developing and deploying software into a Red Hat Linux environment of the above languages
**Preferred Qualifications:**
+ Experience developing satellite modelling or tasking software
+ Experience using Docker, Kubernetes, or similar
+ Experience with Python
+ Experience with AWS or similar
+ Current Counterintelligence Polygraph ( **CI-Poly** ) completed within the last 5 years
+ Experience with SDLC process
+ Experience with Agile SW development process
+ Experience with the Atlassian tool suite (Jira, Confluence, Bitbucket)
**Salary Range:** $77,200 - $127,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fairfax, VAR10154725VirginiaVASpace Software Engineer (Active TS/SCI REQUIRED)None7542EA61DBB84ACFAE200BB65A40CD6Bhttp://northropgrumman-veterans.jobs/7542EA61DBB84ACFAE200BB65A40CD6B23United Kingdom Home BasedNorthrop GrummanUnited KingdomGBR2024-03-29 06:15:30**Your Opportunity to Define Possible. Our Opportunity to Deliver the Nation's Security. Together.**
**Role Clearance Type:**
**You must be able to gain and maintain the relevant UK Government clearance in the line with the job role (SC)**
**Location:** Customer Site - Fareham/Gosport
**About Your Opportunity:**
**A rare opportunity to work at the very front end of the UK MODs operational analysis community.**
As a software engineer, you will work within our sprint teams on a variety of high profile projects evaluating the latest military technology to counter the current and future threats our country faces.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexibility to move between projects, including internal research and development work looking at new technologies and business opportunities, plus flexible working patterns and hybrid working arrangements.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme -** exceptional performance is recognized through our annual incentive programme which is awarded to top performers who excel
+ **Career Development -** opportunity for ongoing professional development and career growth opportunities
**Your Responsibilities:**
+ Development of constructive and real-time Modelling and Simulation (M&S) models and scenarios using a combination of Python, C++ and custom scripting languages using object-oriented design techniques
+ Visualise analytical data extracted from these models
+ Work closely with the customer to develop threat laydowns and simulation capabilities representing advanced weapon system concepts, and implement those concepts.
+ Frequent communication across the engineering disciplines/technology areas with internal stakeholders and external customers
+ Ability to commute to customer site (Fareham/Gosport) where the majority of work takes place (remote working at other times)
**Your Experience:**
+ Bachelor's degree in a STEM discipline
+ Proven experience in design, development, test and integration of quality software
+ Experience in object-orientated software design and programming using Python and C++ (or similar languages)
+ General knowledge of real-time target environments, including processor and network architectures, communication protocols and simulation frameworks.
+ General Systems Engineering skills (requirements definition, requirements analysis, functional design/decomposition, enterprise modelling, test & acceptance)
**Your Future Team:**
"My aim is to build a software team that attracts the strongest candidates, and allows them to reach their full potential in a trusted environment with excellent job security and growth prospects."
**Dave Brunton - Software Manager @ Northrop Grumman UK**
We are an open, friendly and supportive team of approximately 45 engineers across software, test and systems disciplines, with a great balance of experienced and junior engineers all working together to deliver quality systems. We are clear about our focus on addressing what the customer needs via new feature development or supporting existing systems.
Our matrix driven organisation provides new experiences and skills, with continuous development both at a functional level and at a programme level. We are proud of our quality of work.
Diversity is at the heart of our success. Our team share experience, knowledge and new thinking gained from a wide range of backgrounds perspective, culture, gender, race, age and many other elements across several industries. We welcome candidates from all backgrounds and particularly from communities currently under-represented within our industry . We treat everyone with respect and foster safe and inclusive environments.
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And w ithin a respectful and inspirational environment, we value what you say and do.
**How to Apply:**
**Interested in our opportunity?**
**Yes** - then simply submit your application online. Your application will be reviewed by one of our expert recruiters who'll then respond advising you of the outcome and next steps for successful candidates.
**Possibly, I'd like to find out more** - email talentenquiries@uk.ngc.com to connect with one of our Sourcing Specialists who will be happy to support you with any enquiries.
Background checks and potentially security clearance form part of the recruitment process, our team will inform you of the procedures when required.
**Northrop Grumman UK:**
Work with a global brand that makes a real contribution to our nation's security and future. At Northrop Grumman UK, the brightest minds come together to push the boundaries and Define Possible. As leaders in the digital transformation of Aerospace, Defence and Intelligence we are providing ground-breaking outcomes for our customers.
**UK Defence Business:**
Our UK Defence business is a Sovereign software and systems centre of excellence. As well as developing and supporting UK wide and internationally deployed multi-domain command and control systems, our work is critical to the modern backbone of the Royal Navy. We pioneer - with fierce curiosity, dedication, and innovation, we seek to solve the world's most challenging problems.
**Find out more** : https://www.northropgrumman.com/careers/job-search-united-kingdom/
\#LI-PL1 #LI-Onsite
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.United Kingdom Home Based, GBRR10103457Software Engineer - Modelling and SimulationNone8733A827B11848EBA58B636943C8932Ahttp://northropgrumman-veterans.jobs/8733A827B11848EBA58B636943C8932A23MelbourneNorthrop GrummanUnited StatesUSA2024-03-29 06:15:30At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems Sector** has an opening for a **Principal Engineer Software** to join **Global Surveillance Division** supporting the team of qualified, diverse individuals. **This position will be located in Melbourne, Florida.**
Responsibilities will include deploying, automating, maintaining, troubleshooting, and improving the systems and software infrastructure running smoothly. The role requires you to have hands on technical experience and a can-do approach towards environment automation and management along with continuous improvements. You will be a team member working in agile development process. You will be responsible for the design and implementation of application builds, releases, deployment along with configuration activities. Your responsibilities will include working with internal business partners to gather requirements, prototyping, and architecting complex solutions supporting the building and test plan execution, performing quality reviews, and triaging and fixing operational issues. The candidate will assist with the integration, troubleshooting and testing of implemented software changes. The ideal candidate will have the ability to take ownership of an ambiguous hard problems and drive to a solution, while working in a team environment. It is critical that you understand the software development life cycle and have an in-depth knowledge of automated testing to facilitate and analyze large data sets. The Software Engineer will develop, modify, and maintain customized or standardized applications using software engineering best practices and standards, and participate in the full life cycle of software development, to include requirements development, modeling and design, application development, unit to CSCI testing, integration, formal system testing, release, installation, and maintenance.
The ideal candidate will have the ability to take ownership of hard problems and drive to a solution, while working in a team environment and provide leadership for its implementation. It is critical that you understand the software development life cycle and have an in-depth knowledge of automated testing to facilitate and analyze large data sets. The Software Engineer will participate in the full life cycle of software development, to include requirements development, modeling and design, application development, unit to CSCI testing, integration, formal system testing, release, installation, and maintenance. The Software Engineer will apply technical expertise in solving complex integrations problems. The Software Engineer will interact with Program Management, external suppliers and/or government customers. Therefore, excellent verbal, written and interpersonal skills are required. A solid experience in bash scripting, C/C++, or other OOP languages, in a Linux environment is required. Ability to obtain and maintain a DoD secret security clearance and Special Program Access is a required.
**Essential Functions:**
+ Full lifecycle design, implementation, and unit testing of software.
+ Apply extensive technical expertise and has full knowledge of other related disciplines. Able to communicate effectively and clearly present technical approaches and findings.
+ Provide technical solutions to a wide range of complex difficult problems that are imaginative, thorough, practicable, and consistent with programs objectives.
+ In depth understanding and wide application of technical principle, theories, and concepts in the field listed under the basic qualifications.
+ Design, develop, document, test and debug applications software and systems that contain logical and mathematical solutions.
+ Conduct multidisciplinary research when needed and collaborates with equipment designers and/or hardware engineers in the planning, design, development, and utilization of electronic data processing systems for product.
+ Work with various team members to integrate functionality with other software components.
+ Provide technical solutions to a wide range of complex difficult problems. Solutions are imaginative, thorough, practicable, and consistent with organization objectives.
+ Supporting the gathering and reporting software metrics.
+ Follow program software best practices.
+ Develop software products within all phases of the software lifecycle.
+ Able to work independently and identify solutions to complex problems.
+ Represents the organization as the prime technical contact on contracts and projects. Interacts with senior external personnel on significant technical matters often requiring coordination between organizations.
**Basic Qualifications:**
+ (Principal Engineer Software) bachelor's degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited university and **5** or more years of experience in software engineering OR a Master's degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline and **3** or more years of experience in software engineering. A dditional years of experience (military or commercial) in the software engineering field may be considered in lieu of a STEM degree.
+ Development experience with C/C++ or other OOP languages.
+ Experience developing software in a Linux and Windows environment.
+ Experience with debugging software in both the desktop and target environments.
+ Excellent oral and written communication skills and Strong interpersonal skills.
+ Experience with Agile software development.
+ Experience with configuration management using industry standard tools and processes.
+ Familiarity with the Atlassian tool suite, plugins, Git and Confluence.
+ US citizen with ability to obtain and maintain a DoD Secret level security clearance.
+ Ability to obtain and maintain special program access.
Preferred Qualifications:
+ Master's degree in a (STEM) Science, Technology, Engineering or Mathematics discipline from an accredited university with 10+ year's software development experience.
+ Experience developing software within the SELinux environment.
+ Familiarity with the Atlassian tool suite with add-ons like Git and Confluence.
+ Full software development life cycle experience.
+ Rhapsody and/or Cameo UML Modeling experience.
+ Experience with developing automation solutions supporting the CI/CD pipeline.
+ Experience configuring RedHat Linux and Microsoft Windows within a secure environment.
+ Experience using python and other scripting languages in a development environment.
+ Experience with formal software test and Weapon System evaluations.
+ Experience in Source Code Version Control (Bitbucket, Gitlab)
+ Experience in automation servers (Bamboo, Jenkins)
**Salary Range:** $92,600 - $139,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10148991FloridaFLPrincipal Engineer Software (DevOps)NoneC8581704541940138AEA52BD06EBEB6Dhttp://northropgrumman-veterans.jobs/C8581704541940138AEA52BD06EBEB6D23PalmdaleNorthrop GrummanUnited StatesUSA2024-03-29 06:15:29At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems (NGAS) is looking for a Non Destructive Test Tech 2/3 for programs located in the Palmdale, CA facility within the Quality department.
Responsibilities include:
+ Performs non-destructive tests (NDT) on aircraft engines or components.
+ Inspects metallic and nonmetallic materials, parts and assemblies for defects using automated and manual methods and techniques including radiography, ultrasonic, penetrant, eddy current, shearography, thermography and magnetic particle.
+ Requires certifications in non-destructive testing in accordance with regulations.
B **asic Qualifications Level 2:**
+ High School Diploma/GED and 2 years of Non-Destructive Test experience
+ Able to be subject to areas which could cause various phobias due to height or close spaces.
+ Must be willing to work any shift, overtime or non-standard work schedule.
+ This position requires an active DOD Secret Clearance and the ability to obtain Special Program Access within a reasonable period of time, as determined by the company to meet its business needs.
B **asic Qualifications Level 3:**
+ High School Diploma/GED and 4 years of Non-Destructive Test experience
+ Able to be subject to areas which could cause various phobias due to height or close spaces.
+ Must be willing to work any shift, overtime or non-standard work schedule.
+ This position requires an active DOD Secret Clearance and the ability to obtain Special Program Access within a reasonable period of time, as determined by the company to meet its business needs.
**Preferred Qualifications:**
+ Certification in Multiple Non Destructive Inspection (NDI) Disciplines
+ 2 Year degree/certification from NDI Training Institution
+ Mobile Automated Ultrasonic System experience
**Salary Range:** $53,000 - $88,300
**Salary Range 2:** $58,300 - $97,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10151978CaliforniaCANon Destructive Test Technician - Tech 2/3 Transitioning service members and Veterans encourged to applyNone2FE16EBF34244E78BFFB1D7A8DB68843http://northropgrumman-veterans.jobs/2FE16EBF34244E78BFFB1D7A8DB6884323Rocket CenterNorthrop GrummanUnited StatesUSA2024-03-29 06:15:28At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman's Defense Systems** sector is currently seeking an **Electrical Engineer Level 2** to support our growing business in our **Rocket Center, West Virginia** location.
This position will be located at on-site at our Rocket Center, WV location.
Rocket Center, WV is located along the North Branch Potomac River in Mineral County, West Virginia and is home to the Allegany Ballistics Laboratory (ABL), a government installation operated by Northrop Grumman. Known for its scenic mountain landscapes, rolling hills and state parks, the region offers a multitude of outdoor recreational opportunities to include hiking, mountain biking, skiing, fishing, hunting and whitewater rafting. Where can you get to from Rocket Center? We are just a couple hours from Washington, D.C., Baltimore, and Pittsburgh and not far from Philadelphia, Virginia and Ohio. If you enjoy a beautiful all-weather climate, low cost of living, zero gridlock traffic, outdoor activities, and easy access to major cities along the east coast - this area has a lot to offer!
**Learn more about our site here:**
https://www.northropgrumman.com/careers/northrop-grumman-in-rocket-center-west-virginia
This position is for an Electrical Engineer with broad interests whose expertise can be applied to the development of cutting-edge military programs. Responsibilities include:
+ Participate on Integrated Product Teams for complex production programs.
+ Work with manufacturing operations to support the build of electronic products.
+ Test and debug circuit designs.
+ Maintain product technical data package.
+ Lead resolution of technical challenges.
+ Strong analytical skills and the ability organize/prioritize job assignments and tasks.
+ Strong interpersonal skills to work effectively within a development team.
**Basic Qualifications for Electrical Engineer:**
+ Bachelor's Degree in Electrical Engineering or related STEM field
+ 2+ years of professional experience in related industry (0 years with MS or PhD)
+ Experience and background in developing digital electronic subsystems
+ Experience in circuit card design from high level specifications
+ Knowledge of RF and digital signal processing including signal conditioning, sampling, and algorithms
+ US Citizenship required, with the ability to obtain and maintain a US Security Clearance
**Preferred Qualifications:**
+ MS Degree in Electrical Engineering
+ Experience with RF and digital signal processing design and implementation
+ Experience with Altium
+ Experience developing digital electronic modules, circuit boards, ASICs and/or FPGAs for aerospace/military applications
+ Experience with DoD architectures (e.g. SOSA, OMS) and Industry/Military Standards (e.g. MIL-STD-810, MIL-STD-704)
+ ACTIVE US Security Clearance at Secret level or higher
**Salary Range:** $75,300 - $112,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Rocket Center, WVR10154702West VirginiaWVEngineer Electrical level 2None2C6729E17AC6426E84B3897AEBC20F3Bhttp://northropgrumman-veterans.jobs/2C6729E17AC6426E84B3897AEBC20F3B23Rocket CenterNorthrop GrummanUnited StatesUSA2024-03-29 06:15:28At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Configuration Analyst/Prncpl Configuration Analyst
+ This position requires demonstrated experience: establishing and following CM/DM processes throughout project life cycles to maintain consistent configuration control, developing, operating, and maintaining CM database and other process management tools, maintaining the Hardware Configuration Management Plan, managing CM products and documentation.
+ Coordinate and administer configuration management activities related to planning, identification, change management, status accounting, and verification and audit.
+ Manage CM processes to maintain the integrity of the hardware and software as-designed and as-built configurations utilizing both PLM and ERP systems.
+ Plan and coordinate preparation of project documentation, such as engineering drawings, production specifications and schedules, and contract modifications, to ensure customer contract requirements are met.
+ Prepare and maintain program plans that define how configuration management will be accomplished on multiple military programs.
+ Ensure product data structure (BOM) alignment between PLM and ERP systems.
+ Assist with capturing product baselines and performing configuration status accounting and configuration audits.
+ Review contract to determine documentation required for each phase of project, applying CM knowledge of engineering and manufacturing processes.
+ Analyze proposed changes to product design documentation to determine effect on overall product and system.
+ Review Statement of Work/program specification content and applicability to program implementation
+ Contribute to the completion of milestones associated with specific projects and requirements.
+ Provide solutions to a variety of technical problems of increasing scope and complexity as assigned.
+ Participate in modifying and providing input to policies and procedures that govern CM-related processes, collaborating with stakeholders to identify and pursue CM process improvements.
+ Good communication and organizational skills
+ Ability to interface well with program management/customers.
**Basic Qualifications for Configuration Analyst (level 2):**
+ Bachelor's degree with 3 years of experience, a Master's degree with 1 year of experience.
+ Domain knowledge of Configuration Mgmt. standards such as MIL-HDBK-61A &/or EIA-649B &/or EIA-649-1
+ U.S Citizenship and the ability to obtain/maintain DoD Secret Clearance
+ Good oral and written communication skills and the ability to interface well with program management/customers
+ Experience with product lifecycle management software, Teamcenter highly preferred
**Preferred Qualifications:**
+ Self-motivated and able to effectively work with all levels of the organization.
+ Proficient in tools such as Adobe, TeamCenter, and other related CM programs
+ Knowledge of ERP execution.
+ DoD Active Secret clearance
**Basic Qualifications for a Principal Configuration Analyst (level 3):**
+ Bachelor's degree with a minimum of 6 years of relevant experience or a Master's degree with a minimum of 4 years of relevant experience.
+ Experience following documented rules and guidelines to ensure technical content meets internal and customer requirements
+ Working knowledge of EIA-649 or MIL-HDBK-61A
+ Candidate must have solid written and verbal skills to effectively interact with Government counterparts, other defense contractor organizations, and other cross-functional organizations.
+ Ability to obtain and maintain a U.S. Department of Defense (DoD) Secret security clearance within a timeframe set forth by management. US Citizenship required
**Preferred Qualifications:**
+ Active DoD Secret Security Clearance Secret (or higher)
+ Configuration Management Certification
+ Working knowledge and understanding of engineering documentation (i.e. models, drawings, specifications, parts, change notices, manufacturing plans, and manufacturing procedures)
+ Experience working in a configuration management product life cycle system (i.e., Teamcenter Unified, ePIC, etc.)
+ Experience working in an Enterprise Resource Planning (ERP) or Material Requirements Planning tool (MRP) (i.e. Deltek )
**Salary Range:** $62,200 - $93,400
**Salary Range 2:** $76,600 - $115,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Rocket Center, WVR10151114West VirginiaWVConfiguration Analyst Level 2 or 3None8F5D48288D2A45C292A1C004EDA0DBC2http://northropgrumman-veterans.jobs/8F5D48288D2A45C292A1C004EDA0DBC223San AntonioNorthrop GrummanUnited StatesUSA2024-03-29 06:15:27At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
We are currently seeking **Aircraft Fuel Cell Technician 3** based in San Antonio, Texas. In this position, you will perform maintenance operations on F-18 aircraft, F-18 aircraft equipment, F-18 engines, F-18 components, and systems in accordance with DOD, manufacturer, company, supplier and other directives in support of aircraft modification.
Perform removal, installation, and inspection functions of fuel cells and assembly, and installation of components such as fuel lines, hardware, and fittings on various aircraft. Analyze various aircraft sealing, structural, and assembly drawings, to detect seal level deficiencies. Diagnose results of leak test to detect drawing, specification, structural and assembly sealing errors. Recommend corrective action necessary to correct deficiencies. Perform disassembly and teardown operations of aircraft fuel systems and related components. Remove fuel cells and components from aircraft, following specific procedures and techniques. Prepare cavity for installation of fuel cells and components. Install fuel cells and components, following specific procedures and techniques. Use appropriate pressure test equipment, and make repairs as required. Check condition of fuel cells for any type of damage; and cavity, for condition of internal fittings. Work from operation sheets, diagrams, blueprints, engineering orders, mil-specs, and verbal instructions. Maintain schedules and quality standards. Check work for completeness and accuracy. Notify supervision of any / all malfunctions, defects, and damages of equipment, material, and product. Keep work area clean and orderly. May be required to work in other fields incidental to, and in connection with, completion of assignment. May be required to perform other related duties, as assigned, and assist other aircraft mechanics as required.
**Basic Qualifications:**
+ Must have a High School Diploma or equivalent (GED) and at least 4 years of aircraft maintenance experience.
+ Must be able to obtain a DoD Secret level security clearance once hired; and have the ability to maintain that clearance for continued employment.
+ Able to read and interpret schematics, assembly drawings, process specifications, technical manuals, written instructions, test procedures, etc.
**Preferred Qualifications:**
+ Fighter type aircraft maintenance experience
+ A&P license
+ U.S. military background
+ Active Secret DoD clearance
**Salary Range:** $52,500 - $87,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Antonio, TXR10154743TexasTXF-18 Aircraft Fuel Cell Technician 3None99EC40A3EFCF48638D700BF6E048AE4Fhttp://northropgrumman-veterans.jobs/99EC40A3EFCF48638D700BF6E048AE4F23BethpageNorthrop GrummanUnited StatesUSA2024-03-29 06:15:27At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems Sector (NGMS) is a leading global provider of secure software-defined, hardware enabled mission systems. Northrop Grumman's Bethpage location has served as a vital location throughout the history of the company. Opening in 1936, it served as the main headquarters for the Grumman Corporation - the central location for projects such as the Lunar Module and the F-14 Tomcat. Our employees have made significant historical contributions and are continuing to shape the future. This position will be located out of our Bethpage Office.
**Responsibilities:**
We are seeking a systems engineering M1 manager in the Engineering & Sciences organization. This is a dual-role manager position, involving balancing functional and program responsibilities with an expectation of approximately 10%/90%. The selected candidate will have Functional oversight and management of a Systems Engineering group of 6 - 8 engineers as well as productive work on a program or several programs. For this position, the selected candidate will be required to rotate onto and technically support the Sentinel Governance/Integration team at a minimum of 50% time.
+ Lead a team of systems engineers performing direct work on the Sentinel Governance/Integration team
+ Demonstrate excellent oral and written communication skills with strong interpersonal skills
+ Perform management duties for team including performance assessments, 1:1 coaching and guidance, timecard approval and information flow-down.
+ Use good judgement to pursue courses of action necessary to obtain desired results. Perform functional analysis, timeline analysis, detail trade studies, requirements allocation and interface definition studies to translate customer requirements into hardware and software specifications
+ Performing technical planning, modeling and simulation, system integration, verification and validation, cost and risk, and supportability and effectiveness analyses for total systems
+ Oversee and manage the quality and timeliness of the organization's products, and identification and remediation of potential issues before they become problems
+ Develop and manage detailed budgets and schedules and create work assignments for indirect tasking
+ Guide the team in applying the appropriate standards, processes, procedures, and tools using Agile development methodology.
+ Drive agility and operational efficiency in Systems Engineering and its associated processes and tools
+ Attract, develop, advise, assess, promote, and retain highly qualified engineering workforce
+ Ability to collaborate across geographic boundaries
**Basic Qualifications:**
+ Bachelor's Degree in STEM with 5+ years or Master's Degree in STEM with 3+ years of Systems Engineering experience.
+ Full knowledge of the Systems Engineering development lifecycle and extensive technical expertise
+ Must demonstrate a comprehensive understanding of all aspects of the Systems Engineering development lifecycle
+ Exceptional leadership skills in order to coordinate collaboration across engineering teams
+ Experience as a System Engineering/development Team Leader
+ An Active DoD Secret or higher clearance
**Preferred Qualifications:**
+ Proven leadership in a distributed team environment
+ Demonstrated exemplary professionalism and ability to maintain composure in stressful situations
+ Demonstrated ability to build diverse/inclusive teams with engaged team members
+ Demonstrated mentoring and coaching skills, and excellent 2-way communication skills (upward and downward)
+ Time management and organizational skills to prioritize across concurrent program and functional responsibilities
+ Working knowledge of Model Based Systems Engineering (MBSE) development tools, techniques, and concepts
+ Prior experience with DOORS and CAMEO
+ Requirements management; interface control and verification; logical physical and functional architecture; trade studies; and analysis of alternatives Understanding of System Modeling Language (SysML), Unified Modeling Language (UML), or other descriptive constructs/tools
**Salary Range:** $126,600 - $189,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Bethpage, NYR10150760New YorkNYManager Systems Engineering 1NoneC647DF8AEB6C4651BE8CEA0CFB0BD043http://northropgrumman-veterans.jobs/C647DF8AEB6C4651BE8CEA0CFB0BD04323FairbairnNorthrop GrummanAustraliaAUS2024-03-29 06:15:27Since 2001, Northrop Grumman has delivered Through-Life Support to the Royal Australian Air Force's 34 Squadron located at RAAF Fairbairn in Canberra. The high-performing Northrop Grumman SPA (Special Purpose Aircraft) team is comprised of approximately 50 staff who provide all levels of maintenance, training and logistics support to the fleet of 2x Boeing BBJ's and 3x Dassault Falcon 7X's.
Due to recent growth and expansion within SPA, Northrop Grumman Australia is seeking an experienced and qualified **Supply Chain and Logistics Manager** to provide subject matter expertise, guidance and advice to the SPA team.
The Supply Chain and Logistics Manager will drive and lead the SPA supply chain strategy (derived from the operating unit strategy) and associated flow down of customer requirements in supply chain agreements. Working closely with other functional team members, you will also assist developing, implementing and managing the appropriate supply chain governance processes and procedures. You will be accountable for all program supply chain outcomes and the management of suppliers to meet operational needs.
The successful candidate will have had significant experience in commercial environments, team leadership, managing large, end-to-end complex supply chain strategies and will have preferably had defence industry experience.
This position is based at our office in Fairbairn, ACT.
**Day to day responsibilities of the role include:**
+ Provide guidance to the Program leadership team and customer representation on the management of the Program's end-to-end supply chain;
+ Acting as the lead and indirect manager for the subcontracting team allocated to the Program, by providing guidance, direction, support, leadership and allocation of tasks, as required;
+ Provide direct management for Supply Chain team members including warehousing and procurement staff,
+ Facilitating effective, productive, constructive and collaborative relationship between Supply Chain functional support roles and the Program;
+ Managing relationships and subcontract performance outcomes with major and critical Program suppliers;
+ Leading sourcing and subcontracting activities for major initiatives or projects, in collaboration with the Subcontracts Team;
+ Effective contribution to, and delivery of, the strategic objectives of the Program, including prioritisation, risk management, and enterprise implementation as applicable to functional responsibilities;
+ Collaborating with the Program leadership team, Supply Chain Modeller and customer to conduct modelling activities that support strategic decision making and budgeting, when required;
+ Represent Supply Chain as a key functional leader within the program and greater Northrop Grumman organisation.
**The ideal candidate will possess:**
+ Strong demonstrated people leadership in a matrixed environment.
+ Collaborative and proactive management style
+ Relevant education and qualifications relating to logistics, procurement or supply chain.
+ Proven experience as a Supply Chain Manager ideally with an exposure to commercial and/or Defence aviation.
+ Good knowledge of best practice supply chain management principles and program management as it relates to supply chain.
+ Effective supply chain leadership experience and excellent negotiation skills.
+ Subcontract drafting and review experience and significant exposure to subcontract law
+ Demonstrated experience in co-ordination and resolution outcome management in a dynamic operational environment
+ Highly developed verbal and written communication skills being able to tailor messaging for the right audience.
+ Must be eligible to obtain and maintain a Defence security clearance to the Negative Vetting 1 level.
**Desired Experience:**
+ Knowledge and experience in the use of ERPs for supply chain management
+ Demonstrated knowledge and experience with Defence Supply Chain policies including Export/Import and Compliance Controls.
**Why Northrop Grumman?**
As an integral part of the Australian team, you will receive professional development and a comprehensive benefits package. Furthermore, you will be joining an inclusive work environment built on innovation and respect, supported by the highest ethical standards.
Our Values represent what is important to us at Northrop Grumman and are integrated into all aspects of our employee experience:
+ We do the right thing
+ We do what we promise
+ We commit to shared success
+ We pioneer
**What do we offer in return?**
+ Professional Development Support - further education, professional industry memberships and unlimited access to a range of online training including LinkedIn Learning and SkillSoft
+ Up to 2 weeks additional purchased annual leave
+ 9 day fortnights
+ Salary packaging including novated car leases
+ Generous parental leave entitlements
+ WellbeiNG Program
+ Employee Incentive Program
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.Fairbairn, AUSR10154330Supply Chain Manager - Special Purpose Aircraft (SPA)NoneCC1F3E6E7C6C458E971B716A24F8A91Dhttp://northropgrumman-veterans.jobs/CC1F3E6E7C6C458E971B716A24F8A91D23Rolling MeadowsNorthrop GrummanUnited StatesUSA2024-03-29 06:15:26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems, a leader in software defined, hardware enabled systems for national defense applications, is developing a next-generation Product Lifecycle Management (PLM) system for Engineering and Manufacturing product and process data.
This system will be the foundation of our digital transformation and the future of advanced Engineering and Manufacturing capabilities and automation within the company, including Model-Based Engineering and Additive Manufacturing. As a member of the PLM Process and Architecture Team you will be participating in deploying the new PLM system across Engineering, Manufacturing, and other Non-Technical functions in the MS sector that meets cost, schedule, and quality requirements.
Are you a driver of change who is passionate about helping people adapt to organizational and systems changes? As part of our team, the **Organizational Change Management (OCM) Specialist** will focus on the people side of change, including changes to business processes, systems, and technologies, with an emphasis on communications and onboarding new users into our harmonized PLM environment. You'll work with a dedicated scrum team that regularly engages with users and sites in the adoption and stabilization of Teamcenter. **This is a great opportunity for someone who's looking to play a key role in implementing fast and effective change across a company, ensuring new processes are deployed in a timely manner.**
The OCM specialist will support the deployment of Teamcenter throughout Northrop Grumman Missions Systems as a new harmonized PLM Solution. Responsibilities will include working collaboratively with stakeholders to prepare users for new processes, anticipate and address adoption and stabilization concerns, prepare and deliver written and oral communications to large populations of people, and engage with a diverse team of OCM specialists, training content developers, and Product Owners.
Additional Responsibilities Include:
+ Work with OCM team to execute project plans, tools, and methods.
+ Implement adoption and process changes within the organization.
+ Create compelling presentations for multiple levels within the organization and lead/facilitate meetings with stakeholders to ensure an understanding of the current company culture and jointly develop a change adoption plan.
+ Provide direct support and coaching to front-line managers and supervisors as they help their direct reports through transitions.
+ Execute change management activities with cross-functional team members and stakeholders to understand and ensure adoption of the Enterprise Business Transformation.
This position will require excellent organizational and communication skills, as well as excellent time management skills and attention to detail. Candidates must be team players with a strong work ethic and the ability to proactively execute. Candidates m ust have strong relationship-building skills as the basis for being able to influence direction and drive change and possess exceptional communication and problem solving to drive people-related change.
**Basic Qualifications:**
+ High School Diploma and at least 7 years of experience in communications, public relations, and/or marketing; OR Bachelor's degree from an accredited institution and at least 5 years of experience in communications, public relations, and/or marketing; OR a master's degree and at least 2 years of experience in communications, public relations, and/or marketing
+ The candidate must have organization change management and/or system deployment experience.
+ Strong writing skills with experience tailoring strategic messages to a variety of audiences
+ Proficient in Microsoft Office
+ Demonstrated experience in the implementation of strategies that improve organizational performance and business outcomes.
+ Demonstrated experience in facilitating large scale presentations and meetings.
**Preferred Qualifications:**
**You'll be a great fit if you have...**
+ Experience with Agile development processes, tools, and Agile/SAFe methodology
+ Change Management Professional and/or certification.
+ Experience using data analytics and metrics dashboards to identify effectiveness and opportunities for improvement.
+ The ability to comprehend and simplify complex concepts and initiatives to various stakeholders.
+ Have a demonstrated ability to drive actions to completion, manage multiple tasks with competing priorities, and handle variable workloads.
+ A courageous approach and lean in with ideas and solutions.
+ Never bsatisfied with status quo; always pushing for improvements and change.
**Salary Range:** $88,600 - $133,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Rolling Meadows, ILR10154544IllinoisILOrganizational Change Management SpecialistNone086C816740BB428989F3A091A37D66EBhttp://northropgrumman-veterans.jobs/086C816740BB428989F3A091A37D66EB23Oklahoma CityNorthrop GrummanUnited StatesUSA2024-03-29 06:15:26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Northrop Grumman Defense Systems sector is currently seeking a **Sr.** **Supply Chain Procurement Specialist** to join our team of diverse professionals in **Oklahoma City, OK.**
**Responsibilities include:**
+ Develop subcontract specifications, work statements, and terms and conditions for the procurement of services, specialized materials, and/or equipment
+ Prepares bid packages, conducts bidders conferences, analyzes and evaluates proposals, performs price/cost, negotiates subcontract provisions, selects or recommends subcontractors, writes awards, and administers resulting subcontracts
+ Provide direct support to management for oversight of bill of material (BOM) submissions
+ Listing all material, resources, components, assemblies, and parts while including applied escalation factors at all levels of required approval coordination. BOM Management will be in accordance with corporate compliance, and legal requirements to provide support for overall procurement requirements for the site
+ Ensures that appropriate documentation is provided to logistics/transportation & warehouse organization so that proper receipt is recorded
+ Negotiates and coordinates additions, deletions, or modifications to subcontracts
+ Participates with program functional areas to coordinate subcontract funding, invoice processing and program reviews.
+ Coordinates and collaborates with key stakeholders such as contracts, engineering, quality, supplier development, planning, operations, program and end-users
+ In conjunction with quality organization, evaluates and monitors supplier quality and reliability, as well as supplier ability to support required delivery schedules
+ Identifies strategies and opportunities to reduce cost, improve efficiency and manage risk through strategic and targeted negotiations
+ Manages performance of subcontracts, ensures compliance with all public law requirements as well as company (and business) policies and procedures including import/export regulations.
+ Utilizes process tools such as Lean Six Sigma with suppliers to drive quality, efficiency and continuous improvement
+ Manages and develops strategic/key suppliers. Reviews and approves supplier payments
**Basic Qualifications:**
+ Must have high school diploma or equivalent (GED) with at least 14 years of relevant experience or 10 years of relevant experience with bachelor's degree or 8 year of relevant experience with Master's
+ Demonstrated ability to utilize Microsoft Office to create spreadsheets, documents, presentations. (i.e. Word, Excel & PowerPoint)
+ The ability to collaborate with program management and other multi-functional teams
+ Possess strong organizational skills
+ Ability to work with minimal supervision, work successfully in a fast- paced environment and apply sound judgment to problem solving
+ Knowledge of FAR/DFAR
+ Knowledge of CPSR and government audits
+ Ability to obtain and maintain a Secret security clearance
**Preferred Qualifications:**
+ Experience with aircraft maintenance activities under US Govt. prime contracts to include spares procurement and movement.
+ Import / Export (ITAR) experience for movement of materials and technology
+ Familiar with SAP and/or Maximo procurement systems
+ Past Training/Mentoring of Junior level employees
+ Active Secret or Top Secret Clearance
**Salary Range:** $81,800 - $122,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Oklahoma City, OKR10146784OklahomaOKSr.Principal Supply Chain Procurement SpecialistNone30E8865983AF4AB0B1709CF68290AD03http://northropgrumman-veterans.jobs/30E8865983AF4AB0B1709CF68290AD0323San AntonioNorthrop GrummanUnited StatesUSA2024-03-29 06:15:26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
We are currently seeking an **Aircraft Mechanic 3** on our F-18 programbased in San Antonio, Texas. In this position, you will perform maintenance operations on F-18 aircraft, F-18 aircraft equipment, F-18 engines, F-18 components, and systems in accordance with DOD, manufacturer, company, supplier and other directives in support of aircraft modification.
Performs a variety of operations of aircraft tear-down and build-up to include assembly and disassembly of components, rudders, flaps, stabilizers, and other associated flight controls. Measures parts with micrometers and calipers to verify dimensions. May modify incomplete and complete assemblies to incorporate changes to structure and verify quality in accordance with statistical process or other control procedures.
**Responsibilities:**
• Troubleshoots, repairs and services aircraft and engine systems.
• Conducts diagnosis of malfunctions. Performs maintenance, disassembly, rework, repair, replacement, re-assembly or adjustment of various aircraft systems in accordance with technical specifications, engineering instructions, and FAA regulations.
• Maintains FAA required records, manuals and inspection forms. Requires an airframe and power license.
**Basic Qualifications:**
• Must have a High School Diploma or equivalent (GED)
• At least 2 years of aircraft maintenance experience
• Must be able to obtain a DoD Secret level security clearance once hired; and have the ability to maintain that clearance for continued employment
• Able to read and interpret schematics, assembly drawings, process specifications, technical manuals, written instructions, test procedures, etc.
**Preferred Qualifications:**
• Fighter type aircraft maintenance experience
• A&P license
• U.S. Navy or USMC background
• Active Secret DoD clearance
**Salary Range:** $57,200 - $95,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Antonio, TXR10154823TexasTXF-18 Aircraft Mechanic 3None58E5F9D26310472FA82D4005CE08B6C6http://northropgrumman-veterans.jobs/58E5F9D26310472FA82D4005CE08B6C623GoletaNorthrop GrummanUnited StatesUSA2024-03-29 06:15:26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Space Components** Business Unit is seeking a **Principal Supply Chain Planning Specialist** to join our team. This position will be located onsite in **Goleta or Oxnard, CA**
The Principal Supply Chain Planning Analyst is responsible for representing Supply Chain to Program Management, Operations, Quality and NGC Management for the Deployables facilities within the Mission Enabling Products Business Unit in the Payload and Ground Division within the Space Systems sector.
Candidate will serve as liaison between supply chain and the site program management, planning, quality, engineering and operations. Review status of projects, manages schedules, and prepares status reports. Assess supply chain related Program issues and in conjunction with purchasing, develops resolutions to meet productivity, quality, and client-satisfaction goals and objectives. Will be fully engaged with the GSC team and other cross-functional teams to develop and implement integrated system tools and processes within the BU.
**Primary Responsibilities:**
+ Represent supply chain onsite as the focal point for coordinating and communicating supply chain management functions and status to and working with internal groups to ensure requirements are met in accordance with internal customer needs
+ Meet company and customer requirements by providing the linkage between supply chain, customer, program management and internal support functions
+ Communicate to site leadership on programs and site status, as pertaining to supply chain, including execution issues and any other items that may impact ability to deliver
+ Collaborate with buyers, supplier performance and supplier quality to address systemic or major issues at suppliers requiring coordination with site functions
+ Represent supply chain in internal daily and escalation meetings
+ Understanding of program deliverables, due dates and basic technical knowledge of the assigned programs
+ Participates in pre-award, contract kick-off's
+ Assess and provide input on impact, risks and opportunities and Proposals
+ Challenge business impediments to excellence and continuous improvement and generate application of six sigma and lean principles and tools
**Basic Qualifications:**
+ Bachelor's degree with 6 years of relevant experience in supply chain, business/project management or logistics; 4 years with a Master's. In lieu of a degree, 10 years of relevant experience in supply chain, business/project management or logistics
+ Team player with strong interpersonal, written and verbal communication skills; ability to present concepts and recommendations to all levels within the organization
+ Solid understanding of supply chain processes and best practices
+ Demonstrated ability to think analytically and solve problems
+ Detail oriented, but able to understand the big picture
+ Demonstrated ability to develop strong, effective working relationships with a wide range of stakeholder with success in leading and influencing peers and other support functions
+ High level of proficiency in MS Office suite and ERP systems/tools
**Preferred Qualifications:**
+ MBA and/or Supply Chain-related professional designation and experience in defense or aerospace systems
+ Experience in high program volume / low production rate environment
+ Demonstrated capability and success in identifying and implementing continuous improvement opportunities
+ Working knowledge of NGC Global Supply Chain, Business Development, and/ or Manufacturing/Operations.
**Salary Range:** $88,700 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Goleta, CAR10145640CaliforniaCAPrincipal Supply Chain Planning SpecialistNone5A7621D2363C427C869787954929890Dhttp://northropgrumman-veterans.jobs/5A7621D2363C427C869787954929890D23MelbourneNorthrop GrummanUnited StatesUSA2024-03-29 06:15:25At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Chief Information Office organization is seeking a Software Development Analyst to support various program activities. The position will deploy, research, support, customize, and maintain the suite of Commercial and Open Source applications used by Software and Systems Engineering.
**This requisition may be filled at either a level 3 or a level 4, depending on the candidate's education and experience. Primary location would be Melbourne, FL.**
Responsibilities include (but are not limited to):
+ Configure, deploy and administer commercial and open source software
+ Maintain strong expertise and knowledge of current and emerging technologies and products.
+ Provide best practice, recommendations and guidance to manage SSLM operations at scale
+ Ability to implement a configuration management solution
+ Scripting for DevOps processes
+ Server Configuration
+ Docker container deployment
+ Researches, designs, develops, and/or modifies enterprise-wide systems and/or application software
+ Coordinates activities with Product Owner
+ Participates in Agile development activities, in keeping with SAFe
+ Evaluates system interfaces and operational requirements.
+ Documents testing and maintenance of system corrections.
**Basic Qualifications for a Level 3:**
+ Bachelor's degree in Engineering, Computer Science, Mathematics or related STEM discipline with five (5) years of experience OR a MS degree in Engineering, Computer Science, Mathematics or related STEM discipline with three (3) years of experience.
+ 7 Years of experience with bachelor's in science; 5 Years with Masters; 3 Years with PhD or 4 additional years in lieu of a degree.
+ Must be US Citizenship and ability to obtain Special Program Access (SAP)
+ Must have demonstrated experience with both Windows and UNIX/Linux operating systems
+ Internet Information Services (IIS) experience
+ Apache experience
+ Active DoD Secret security clearance
+ Must possess a DoD 8570 certification at IAT Level II or higher
**Basic Qualifications for a Level 4:**
+ 9 Years of experience with bachelor's in science; 7 Years with Masters; 4 Years with PhD or 4 additional years in lieu of a degree.
+ Active DoD Secret clearance with a reinvestigation date occurring in the last 5 years.
+ Must be US Citizenship and ability to obtain Special Program Access (SAP)
+ 9 or more years of experience scripting HTML5, JavaScript, and CSS
+ Experience designing and/or developing collaboration solutions
+ Experience designing and/or developing business process automation solutions
+ Experience with software configuration management
+ Experience working within an Agile development team
+ Experience tracking tasking via Jira and/or Agile development management platform
+ Understanding of SharePoint / M365 permission structures
+ Excellent organization and communication skills
+ Ability to obtain IAT Level II or above approved certification.
+ MECM SME
+ Windows and UNIX/Linux operating systems
+ Server/Client SW installation
+ Active DoD Secret security clearance
+ Must possess a DoD 8570 certification at IAT Level II or higher
**Preferred Qualifications:**
+ Active Top Secret security clearance with adjudication in the last 6 years
+ Excellent communication skills
+ Exposure to web-based software development or operations
+ Exposure to Cloud technologies
+ Have a broad understanding of development, web operations, SDLC, etc
+ Ability to work well in a team environment
+ Scripting languages: python, PowerShell, or JavaScr ipt
+ Experience with Postgres and MS SQL Server desired
**Salary Range:** $92,600 - $139,000
**Salary Range 2:** $114,900 - $172,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10147463FloridaFLSoftware Application Administrator/AnalystNone29C617CA867D456D98C5D9E0FC0B4C69http://northropgrumman-veterans.jobs/29C617CA867D456D98C5D9E0FC0B4C6923PalmdaleNorthrop GrummanUnited StatesUSA2024-03-29 06:15:25At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aerospace System (NGAS) is seeking a Program Cost Schedule & Control Analyst (Level 3) in our Palmdale, CA facility to support the Material Cost Management organization. **This position has an on-site, 9/80 work schedule. Both El Segundo or San Diego would be considered as primary locations.**
Essential Functions:
This position will provide assistance and guidance to support the implementation of Earned Value Management System (EVMS) to Integrated Product Teams (IPTs) and Control Account Managers (CAMs), while ensuring compliance to DOD EVMS guidelines and the Autonomous Systems Division EVM System.
This is a fast paced high energy environment that requires a self-motivated employee to join the team. The ideal candidate will possess the following traits and abilities: thorough understanding and experience in use of EVMS and financial reporting as a hands-on expert for financial systems and analysis; understand and communicate unique problems and recommend potential business/process solutions; plan/schedule own activities to accomplish objectives with work reviewed upon completion for adequacy; exert influence on peers, internal customers, and other to support organizational goals while prioritizing activities to effectively complete them; leadership/team skills in completing interactive assignments, lead small teams and clearly communicate thoughts and objectives.
This Program Cost Control Analyst will focus in EVMS support for Major Subcontracts and General Procurement (GP). The analyst will be responsible for preparing month-end reports; developing and reviewing EAC's; incorporation of changes into the EVMS system in order to maintain Major Subcontract/GP cost and schedule baselines. The analyst will assist CAMs for EVMS activities including: WBS alignment, time phasing of the tasks, developing and documenting earned value methodologies, monthly performance measurement, estimate to complete analysis and updates, and variance analysis research and reporting. Support monthly cost and schedule reviews, identify pressures and opportunities, and develop recovery plans as required
Basic Qualifications:
+ Bachelor's degree in Business Management, Finance, Accounting or related with 5 years of experience in financial analysis or a Master's Degree with 3 years of stated experience
+ **Must have a demonstrated working knowledge of EVMS**
+ Proficient in Microsoft Office (Excel and PowerPoint)
+ **DoD Secret Clearance, awarded/reviewed within the last 5 years, and the ability to obtain and maintain Special Program Access**
Preferred Qualifications:
+ Financial forecasting and/or material accounting experience
+ Experience with Group Pegging and Distribution (GPD)
+ Understanding of Business Systems (SAP/MPM/Cobra)
**Salary Range:** $88,700 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10154647CaliforniaCAPrincipal Program Cost and Schedule Control Analyst (Clearance)None354EADFEAA5544DEBDA260734D4D4124http://northropgrumman-veterans.jobs/354EADFEAA5544DEBDA260734D4D412423GilbertNorthrop GrummanUnited StatesUSA2024-03-29 06:15:25At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman needs Electromagnetic Environmental Effects (E3) engineers to team up and help lead the E3 design, development, and analysis of complex electronic products for space systems.
At Northrop Grumman Space Systems E3 engineering is the impact of the electromagnetic environment upon the operational capability of components, assemblies, equipment, subsystems, systems, and other space platforms. E3 covers all electromagnetic disciplines, including electromagnetic compatibility (EMC); electromagnetic interference (EMI); electromagnetic vulnerability (EMV); electromagnetic pulse (EMP); electrostatic discharge (ESD); electronic protection; hazards of electromagnetic radiation to personnel, ordnance, and volatile materials; and natural phenomena effects such as lightning and p-static.
This position can be performed in either our Gilbert, AZ or Dulles, VA location
**Responsibilities:**
+ Electromagnetic Environmental Effects (E3) engineers must work across diverse engineering disciplines in support of multiple space system programs. Northrop Grumman E3 engineers deliver valued oversight across all phases of a program from origin to orbit. To meet these challenges at Northrop Grumman an E3 engineer must have a strong aptitude for learning new and exciting innovations and a strong E3 skill set.
+ Writing, interpreting, allocating, tailoring, and flowing down E3 requirements to all design levels.
+ Present at design reviews to internal and external customers regarding E3 issues.
+ Writing E3 design guidelines, developing models and performing analyses on components, subsystems and systems using EMI principles.
+ Designing ground systems, chassis and harness shielding, EMI filters, and selecting and laying out interface circuitry for achieving EMC.
+ Prepare and review E3 test procedures, E3 test reports, E3 technical analyses, E3 control plans, and participate in cross discipline design reviews for EMC.
**Basic Qualifications:**
+ Bachelor's Degree in Electrical Engineering or a STEM discipline with 14 years of experience.
+ Working knowledge of MIL-STD 461, MIL-STD 464, NASA-GEVS-7000 and other E3 space requirement standards.
+ Demonstrated ability to successfully allocate, tailor, and flowdown system level E3 requirements into lower-level requirements.
+ Extensive E3 design and analysis experience.
+ Experience with defense and aerospace industry practices, and programmatic skills supporting BOE, RFP, ROM, CAM, WBS, Risk assessments for E3 compliance.
+ Experience leading E3 groups or programs.
+ Working knowledge of lab test equipment such as spectrum analyzers, generators, amplifiers, and measurement antennas.
+ Must have US Citizenship with the ability to obtain a Top Secret/SCI Clearance
**Preferred Qualifications:**
+ Master's degree in Electrical Engineering, with a specialty in Electromagnetics, RF, or analog circuitry is preferred.
+ Current or active Top Secret Clearance with existing SCI eligibility
+ Design experience with EMI filters, circuit layout and analysis for E3, shielding, lightning protection, cable, and harnessing design.
+ Working knowledge and experience in modeling and simulation of E3 using commercially available software.
**Salary Range:** $158,200 - $274,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Gilbert, AZR10154795ArizonaAZSr Staff EMI/EMC Systems Engineer - Satellite/Space SystemsNone762CE57D0D924264A67975FC19C5F1FEhttp://northropgrumman-veterans.jobs/762CE57D0D924264A67975FC19C5F1FE23GilbertNorthrop GrummanUnited StatesUSA2024-03-29 06:15:25At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Your opportunities for achievement are limitless with Northrop Grumman Space Systems.
The Tactical Space Systems division of Northrop Grumman seeks qualified candidates for the Electromagnetic Environmental Effects (E3) team.
**Job Responsibilities**
+ At Northrop Grumman, Electromagnetic Environmental Effects (E3) engineers must work across diverse engineering disciplines in support of multiple space system programs. Northrop Grumman E3 engineers deliver valued oversight across all phases of a program from origin to orbit. To meet these challenges at Northrop Grumman an E3 engineer must have a strong aptitude for learning new and exciting innovations and a strong E3 skill set.
+ E3 engineers interact across all phases of a program, so strong technical communication skills and a readiness to explain complex technical issues with brevity to an audience unfamiliar with the E3 topic are necessary.
+ All programs have E3 requirements, so an E3 engineer must be capable of writing, interpreting, allocating, tailoring, and flowing down E3 requirements to all design levels.
+ E3 engineers need to present at design reviews to internal and external customers regarding E3 issues.
+ An E3 engineer must be capable of writing E3 design guidelines, developing models and performing analyses on components, subsystems and systems using EMI principles.
+ E3 engineers are responsible for designing ground systems, chassis and harness shielding, EMI filters, and selecting and laying out interface circuitry for achieving EMC.
+ E3 engineers are required to prepare and review E3 test procedures, E3 test reports, E3 technical analyses, E3 control plans, and participate in cross discipline design reviews for EMC.
+ To verify E3 requirements, E3 engineers need to design E3 test procedures and participate in E3 testing in accordance with governmental and non-governmental standards, for example MIL-STD 461, MIL-STD 464, DO-160, NASA-GEVS-7000 and other commercial requirements.
+ Experienced E3 engineers at Northrop Grumman must help maintain and build on Space System's reputation for E3 expertise and quality by training and mentoring less experience E3 engineers.
+ E3 engineers must contribute to the overall knowledge and expertise in E3 engineering capability by developing processes, procedures, documentation, and protocols for the practice of E3 engineering at Northrop Grumman.
**Basic Qualifications:**
A candidate, regardless of age and hiring source, must meet ALL of the below criteria. The candidate must:
+ The ability to perform E3 design and analysis.
+ Working knowledge of lab test equipment such as spectrum analyzers, generators, amplifiers, and measurement antennas.
+ Be completing or has completed their Bachelor's or Master's Degree from an accredited institution.
+ Be majoring in Electrical Engineering or a STEM discipline
+ Outstanding time-management and multi-tasking skills.
+ Excellent oral and written communication skills.
+ Ability to work in a team setting.
**Preferred Qualifications:**
+ A Bachelor's or Master's degree in Electrical Engineering, with a specialty in Electromagnetics, RF, or analog circuitry is preferred.
+ Working knowledge and experience in modeling and simulation of E3 using commercially available software is a plus
+ Design experience with EMI filters, circuit layout and analysis for E3, shielding, lightning protection, cable, and harnessing design.
+ Programming experience with C language and Python scripting.
\#campusjobs
Associate Engineer Systems Salary Range: 62,200 - 93,400
Engineer Systems Salary Range: 75,300 - 112,900
**Salary Range:** $62,200 - $112,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Gilbert, AZR10145252ArizonaAZ2024 Electromagnetic Environmental Effects Systems Engineer - Gilbert AZNone884E9492F916468F990698E9F7F57011http://northropgrumman-veterans.jobs/884E9492F916468F990698E9F7F5701123Sierra VistaNorthrop GrummanUnited StatesUSA2024-03-29 06:15:25At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems is seeking a **Sr Principal Configuration Analyst** to join our team in Sierra Vista, AZ. May consider Full-Time telecommuting as an option.
The selected candidate will perform the following:
The **Sr Principal Configuration Analyst** will support an international program in support of the war fighter. The submittal of quality and on-time contractual deliverables is key to the success of the program and to promote customer satisfaction. The successful candidate will use their keen sense of urgency, multi-tasking abilities and initiative to keep programs on schedule.
**Job Responsibilities**
What You'll Do:
+ Provide change and data management support to assigned programs as the Configuration and Data Management SME .
+ Create daily/weekly status for program deliverables.
+ Leads the creation of reports and metrics for program deliverables.
+ Maintain accurate Configuration & Data Management files and records.
+ Provide guidance to program personnel regarding best practices and make recommendations based on program specific requirements.
+ Leads the development and implementation of the program CM Plan
+ Review and provide inputs to GATS standards.
+ Leads effort to document, continuously improve and implement CM processes.
+ Provide training and guidance to less experienced Data Management Analysts
+ Review and provide inputs to contract documents for CM inputs.
+ Support program daily/weekly deliverable lookahead and status of deliverables.
+ Track and archive SDRL/CDRL dispositions.
+ Perform audits of CM records
+ Create SDRL/CDRL schedules based off of contract requirements.
+ Lead/Perform Functional/Physical Configuration Audits (PCA/FCA)
+ Attend program level meetings and flow down information to CM team.
+ Work flexible hours to support program needs.
**Experience & Education Requirements**
Basic Qualifications:
+ Must have 14 years of Configuration/Data analyst experience in lieu degree; Will consider 10+ years of Configuration/Data Analyst experience required with a Bachelors degree, Or Master degree with 8 years of Configuration/Data Analyst experience.
+ Knowledge of Microsoft Applications PowerPoint, Excel, Word, Visio, SharePoint and others.
+ Knowledge or familiarity of the industry standards: MIL-HDBK-61A, EIA -649C, ISO 9001, AS9100.
+ Possesses comprehensive knowledge of the principles, methods, and techniques used in change and data management.
+ Possesses comprehensive knowledge of and familiarity with data management software applications.
+ Execute an acute attention to detail.
+ Ability to multi-task.
+ Organization and time management skills.
+ Must hold an active or Interim Secret security clearance.
Preferred Qualifications:
+ CMII Certification
+ EIA-649C Certification
+ Experience reading engineering drawings, production specifications, contract documents.
+ Knowledge of Defense Contracting Management Agency (DCMA) requirements
**Salary Range:** $95,000 - $149,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Sierra Vista, AZR10154764ArizonaAZSr Principal Configuration AnalystNoneF83F815F530E4D3C957FAA7952A24854http://northropgrumman-veterans.jobs/F83F815F530E4D3C957FAA7952A2485423ChantillyNorthrop GrummanUnited StatesUSA2024-03-29 06:15:24At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Staff** **Network Engineer** to join our team of qualified, diverse individuals. This position will be located in **Chantilly, VA**
The selected candidate will be responsible for integrating and testing software/hardware systems in complex data center systems and will be working in a fast-paced, dynamic environment teaming closely with other engineers and technicians in a lab environment.
This position will require up to 10% travel.
**Essential Functions:**
+ Developing engineering documentation for system configuration
+ Working with a team to ensure engineering projects are completed on schedule.
+ Collaborate with engineers to generate and redline documented procedures and troubleshoot.
+ Implement changes to the system as required
The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, and collaborative/team settings across all levels.
**Basic Qualifications for** **Staff** **Network Engineer:**
+ Bachelor's of Science degree with 14 years of related networking/IT experience; OR a Master's of Science degree with 12 years of related networking/IT experience; OR a PhD in an IT-related field with 9 years of related engineering experience. **(13 years of related, exempt-level networking/IT experience will suffice in lieu of a degree.)**
+ Active CompTIA Security+, CASP, or CISSP certification.
+ Demonstrated experience with modern VMware releases.
+ Excellent problem solving, analytic, and communication skills.
+ **Active DoD Secret clearance with the ability to upgrade to a DoD Top Secret Clearance.**
+ Must have the ability to obtain Special Program Access (SAP). This SAP must be obtained prior to commencement of employment and within a reasonable amount of time as determined by the company to meet its business needs.
**Preferred Qualifications for both levels:**
+ Bachelor's degree, Master's degree, or PhD in a STEM discipline.
+ Active DoD Top Secret clearance
+ VMware Certified Professional (VCP) certification
+ 4+ years of hands-on VMware virtualization and/or cloud experience
+ Experience administrating of vSphere and vCF; experience with ESXi, vCenter, NSX and VDI
+ Experience trouble shooting of VMware products in a production environment
+ Experience administrating Container Technologies (e.g. Kubernetes, Docker) in an production environment
+ Operating System Certification (Linux+, VMware Certified, etc.)
+ Aria Automation (vRA) and Aria Operations (vRO) experience
+ Scripting experience with vRO (PowerShell, Python, JavaScript).
+ Experience administrating Red Hat Enterprise Linux 8 (or equivalent) or above.
+ Experience administrating of NetApp Storage system On Tap and Storage grid.
+ In-depth experience in Operations and Maintenance activities of IT infrastructure
+ Experience configuring/maintaining Networking Hardware (Switch, Router)
**Salary Range:** $97,500 - $146,300
**Salary Range 2:** $120,900 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Chantilly, VAR10154801VirginiaVAStaff Network EngineerNone081C5ADC31B642878B21E428E508C626http://northropgrumman-veterans.jobs/081C5ADC31B642878B21E428E508C62623Fort MeadeNorthrop GrummanUnited StatesUSA2024-03-29 06:15:24At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
This position description does not represent a current opening but may be used to identify candidates with skills and experience for positions within Northrop Grumman that frequently become available. Candidates who express an interest may be considered for future positions at Northrop Grumman.
Maintains smooth operation of multi-user computer systems, including coordination with network administrators. Interacts with users and evaluates vendor products. Makes recommendations to purchase hardware and software, coordinates installation and provides backup recovery. Develops and monitors policies and standards for allocation related to the use of computing resources. Ancillary duties may include setting up administrator and service accounts, maintaining system documentation, tuning system performance, installing system wide software and allocating mass storage space.
**Roles and Responsibilities:**
The candidate will be filling a role that requires a broad array of knowledge and skills heavily focused on Systems Administration and system engineering working with team members to support a Cyber Security program at a customer site. We're looking for a highly motivated individual with an impeccable work ethic and a strong ability to work in a collaborative fast-moving dynamic team environment.
**Responsibilities include:**
+ Maintains smooth operation of multi-user computer systems, including coordination with Cyber Systems Engineers.
+ Interacts with users and evaluates vendor products.
+ Makes recommendations to purchase hardware and software, coordinates installation, and provides backup recovery.
+ Develops and monitors policies and standards for allocation related to the use of computing resources.
+ Ancillary duties may include setting up administrator and service accounts, maintaining system documentation, tuning system performance, installing system wide software and allocating mass storage space.
+ Periodically conduct a complete review of each system's audits and monitor corrective actions until all actions are closed.
**Basic Qualifications:**
+ 7 years of experience as a System Administrator in programs and contracts of similar scope, type, and complexity with a bachelor's degree in Computer Science or related discipline from an accredited college or university. - 11 years of experience as a SA in programs and contracts of similar scope, type, and complexity with no degree can also be accepted.
+ US Citizenship is required with a current and active DoD TS/SCI with Polygraph is required to be considered.
+ Must possess a DoD 8570 Certification for IAT Level II or higher.
**Preferred Qualifications:**
+ Prior administration of virtualization environments using technologies such as ESX, storage provisioning and networking of virtual systems
+ Experience configuring, administering and maintaining computer systems (Such as: Windows 10, Server 2012R2, Server 2016, Active Directory, RedHat Enterprise Linux)
+ Experience writing standard operating procedure documentation and developing system cold-start documentation
+ Experience maintaining and operating virtual environments for servers and workstations
+ Experience configuring, administering, and maintaining patch deployment and management systems and providing patch management status reporting to management
+ Experience configuring, monitoring, maintaining, and administering computer backup and recovery solutions and providing recovery status reporting to management
+ Experience writing scripts (e.g. Batch, Bash, PowerShell, Python) to automate routine tasks
**Salary Range:** $115,400 - $173,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fort Meade, MDR10154630MarylandMDSr Principal Cyber Systems Administrator (SB)None932E14581BEE4881B9A634D5894FE4A8http://northropgrumman-veterans.jobs/932E14581BEE4881B9A634D5894FE4A823RoyNorthrop GrummanUnited StatesUSA2024-03-29 06:15:24At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is looking for a **Principal COMSEC Custodian** who will assist in the management of all procurement, fielding, and sustainment of Crypto/Keying material and devices in our **Roy, Utah** facility.
The responsibilities include but are not limited to the following:
+ Assist in the tracking, control, and inventory requirements of Government Furnished Equipment (GFE) and company owned equipment.
+ Occasional travel to other Northrop Grumman facilities.
+ Ensure maximum COMSEC safeguards are in place, managing proper accountability, handling, storage, packaging, shipment, and administration of all cryptographic materials.
+ Perform installation, maintenance, configuration and troubleshooting of COMSEC equipment, as required, hands on experience of setting IP addresses, routes, rules, and templates in CCI Devices and software upgrades, system equipment certifications.
+ Order and transfer of cryptographic keys, loading keying material to KGs, KIVs, OMNI's, SECTERA Wireline Terminals, Vipers, and numerous GPS units.
+ Interface with the help desk and communicate with vendors.
+ Assist in the ongoing development, presentation and accurate record keeping of COMSEC security awareness training for all COMSEC users.
**Basic Qualifications** :
+ Five years of related security experience with a Bachelors degree, or three years of related security experience with a Master's. In lieu of a degree, an additional four years of relevant security experience may be considered.
+ At least two years of COMSEC experience.
+ Current Active **Top-Secret** clearance with an investigation date within 6 years or enrolled in CE. Must be able to retain Top Secret clearance and obtain and retain Special Program Access.
**Preferred Qualifications:**
+ Self-starter with minimal supervision.
+ Current and working knowledge of the Intelligence Community.
+ Knowledge in ICD 503 & 705 processes (and or applicable DCID standards).
+ Must be organized and efficient at time management.
+ Develop and execute program protection strategies for existing programs, developing programs, or new concepts.
+ Experience supporting classified proposal development and execution.
**Salary Range:** $84,200 - $126,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10154525UtahUTPrincipal COMSEC Custodian (12656)NoneEB392AFEE4DC4ABBA179CC1B2045E55Bhttp://northropgrumman-veterans.jobs/EB392AFEE4DC4ABBA179CC1B2045E55B23PalmdaleNorthrop GrummanUnited StatesUSA2024-03-29 06:15:23At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems has an opening for a Principal / Senior. Principal Test Conductor to join our team of qualified, diverse individuals within our Test and Evaluation organization. This role is located in Palmdale, CA. Edwards AFB or, Mojave, CA and may flex between locations.**
This position will be supporting one or more aircraft platforms within our Strike portfolio, including the B-21 Raider, the world's first sixth-generation aircraft. When it comes to delivering America's resolve, the Raider provides the Air Force with long range, high survivability, and mission payload flexibility. The B-21 Raider will be capable of penetrating the toughest defenses to deliver precision strikes anywhere in the world. The B-21 is the future of deterrence. Find out more here .
We have multiple needs for various Test Conductors, and applying to this requisition constitutes consideration across multiple teams within Test. The position requires working knowledge of the full development life-cycle processes that acknowledge technical and schedule constraints. This requisition may work ANY Shift (4x10 (M-TH, Tu-Fr), 3x10 (Fri-Sun), or 9/80, 1st shift or 2nd shift) and this shift assignment may change based on business needs.
In this role, Test Conductors work with engineering, operations, and test disciplines in a combined team environment and are tasked to ensure engineering tests serve mission objectives and mechanisms are in place to execute the tests. They lead the development and review of test plans, test schedules, test cards, and test procedures. In short, they are responsible for testing all customer samples and oversees the operational aspects of engineering tests and serves as liaison to program, engineering, and line management. These roles require a highly motivated and self-starting individual with relevant test operations experience. Ideal candidates will be willing to support additional Program requirements beyond the defined requirements of this position, if required.
Because of the level of the role, any interested candidate must have experience with test card development/review, as well as the ability to brief test results/issues to executive leadership. Work will be primarily performed in Palmdale, Edwards AFB, or Mojave, CA, but any interested candidate must be willing to be flexible to changes in locations based on Test needs.
**Key Responsibilities:**
+ Creating test article mission plans and routing for various developmental efforts
+ Providing pre/post mission support planning and documentation, supporting appropriate decisions regarding safety, efficiency, and effectiveness.
+ Reviewing and evaluating test requirements to ensure completeness/feasibility and adequacy of test program.
+ Interfacing with test article/software design and analysis teams to define test configuration and data requirements.
+ Supporting test card development and review in collaboration with various engineering disciplines and test operations personnel.
+ Supporting test readiness reviews, and pre/post-test briefings.
+ Supporting test planning working groups as needed.
+ Conducting and contributing to pre and post-test data analyses to support anomaly identification and resolution. Maintains metrics on status of testing and constraints.
+ Serving as either a principal subject matter focal point between maintenance, design engineering, and the test team.
+ May provide laboratory support for integration testing. *(Labs)
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
"This requisition may be filled at a higher grade based on qualifications listed below."
**Basic Qualifications**
This position may be filled at the Principal OR Sr. Principal level.
**Basic Qualifications for Principal Test Conductor**
+ Must have a Bachelor of Science degree in a Science, Technology, Engineering or Math (STEM) discipline AND 5 years of related professional / military experience OR a Master of Science degree in a STEM discipline AND 3 years of related professional / military experience OR a STEM PhD AND 0 years of related professional / military experience
**Basic Qualification for Sr Principal Test Conductor**
+ Must have a Bachelor of Science degree in a Science, Technology, Engineering or Math (STEM) discipline AND 9 years of related professional / military experience OR a Master of Science degree in a STEM discipline AND 7 years of related professional / military experience OR a STEM PhD AND 4 years of related professional / military experience
**Basic Qualification for both Principal and Sr Principal Test conductor**
+ Must have a background that includes: test card development and review, a background in Navigator/Mission Planner/support to flying operations, flight test, and/or flight training, AND/OR providing pre/post mission support planning and documentation
+ Must have in-depth knowledge of aircraft subsystem design, test data requirements, and test methodologies used in the aerospace industry, to include control room monitoring.
+ Must have proficiency in Microsoft Office and relevant industry mission planning software such as Integrated Analysis & Data System (IADS).
+ Must have the ability to obtain and maintain a DoD Secret clearance prior to the commencement of employment
+ Must have the ability to obtain and maintain a DoD Top Secret clearance within a reasonable amount of time as determined by business needs
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance within a reasonable amount of time as determined by business needs
+ Must be able to support ANY Shift (4x10 (M-TH, Tu-Fr), 3x10 (Fri-Sun), or 9/80, 1st shift or 2nd shift) and this shift assignment may change based on business needs.
+ Requires the ability and willingness to work non-standard hours and multiple shifts on a part-time basis. Overtime, odd shifts, and weekend work may occasionally be required.
+ For certain teams, must be able to work from extended work platforms at elevated heights and for an extended period.
**Preferred Qualifications:**
+ UAS Lab specific System Test experience
+ MES experience, and management / disposition of customer materials for test
+ Mission Control Room experience. (Special for Control Room)
+ Previous professional experience in lab integration and test activities (Special for Labs)
+ Previous professional experience with mission planning, execution, and debriefing. (Special for Planners)
+ Active Top Secret DoD Security Clearance
\#b21test
**Salary Range:** $95,000 - $142,400
**Salary Range 2:** $117,700 - $176,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10117900CaliforniaCAPrincipal / Senior Systems Test Engineer - Test Conductor Flight TestNone0CDAD8C7353349089CF21F28903EEF61http://northropgrumman-veterans.jobs/0CDAD8C7353349089CF21F28903EEF6123SunnyvaleNorthrop GrummanUnited StatesUSA2024-03-29 06:15:23At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our Engineering and Sciences (E&S) organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills and innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
We are looking for you to join our team as a Sr. Principal SEIT (Systems Engineering Integration and Test) Lead based out of Sunnyvale, CA. This role is part of a production team supporting marine propulsion and power generation machinery. Our success is grounded in our ability to embrace change, move quickly and continuously drive innovation. The successful candidate will be collaborative, open, transparent, and team-oriented with a focus on team empowerment, shared responsibility, flexibility and continuous learning.
**What you'll get to do:**
This position functions as an engineering project manager leading a diverse engineering team and will be responsible for the end to end technical execution, cost, schedule, quality, and staffing for internal and external production efforts. This position requires multidisciplinary knowledge in all areas related to engineering including systems design, hardware, integration and test.
The Sr. Principal SEIT Lead will oversee engineering program planning and execution, Earned Value Management System (EVMS) reporting, briefings and providing overall leadership and coordination of a multi-disciplined Engineering team. The position will be tasked with project leadership and budget management responsibilities.
The Sr. Principal SEIT Lead will interface with multiple disciplines including internal Executive Management, Program Management, Manufacturing, Global Supply Chain, Business Management, suppliers, and customers. We are looking for you to join our team as a Sr. Principal SEIT (Systems Engineering Integration and Test) Lead based out of Sunnyvale, CA.
**Basic Qualifications Sr. Principal SEIT (Systems Engineering Integration and Test) Lead:**
+ Bachelor's Degree in a STEM (Science, Technology, Engineering or Math) discipline with 8 years relevant experience; or Master's Degree in a STEM discipline with 6 years of relevant work experience; or a PhD in a STEM discipline with 3 years relevant experience
+ 4+ years' experience as a technical project lead (e.g. team lead, functional lead, or equivalent)
+ Understanding of Systems Engineering processes with execution experience
+ Experience summarizing and communicating status reports to technical leadership at a level higher than immediate management
+ US Citizen with the ability to obtain and maintain a Secret Clearance
**Preferred Qualifications:**
+ Solid technical foundation in systems engineering with experience in multiple aspects of the systems engineering process.
+ Experience in Program/Project management of hardware intensive programs including demonstrated knowledge of earned value management.
+ Active use of Agile practices.
+ Self-directed technical leader with strong verbal and written communication skills.
+ Ability to act as a liaison to the customer for engineering efforts.
+ Prior military or government contract experience.
**Salary Range:** $107,300 - $160,900
**Salary Range 2:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Sunnyvale, CAR10154830CaliforniaCASr. Principal SEIT LeadNone487DA9DA5ACE4AAB90276E24D52C1F55http://northropgrumman-veterans.jobs/487DA9DA5ACE4AAB90276E24D52C1F5523Woodland HillsNorthrop GrummanUnited StatesUSA2024-03-29 06:15:23At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Engineering & Sciences organization supporting the Advanced Navigators business area at Northrop Grumman Mission Systems (NGMS) is looking for you to join our team as a Software Integrated Product Team (IPT) Lead based out of Woodland Hills, CA.
The **Software IPT Lead** is responsible for planning, budgeting, executing and progress reporting for a large team of engineers. The primary goal is the development of software for the latest M-code capable embedded GPS/Inertial Navigation System (EGI-M). The SW IPT Lead ensures the integrity of engineering processes, and timely development of software engineering work products to allow airworthiness certification. The SW IPT Lead will have Control Account Manager (CAM) responsibility, which comprises cost and schedule management for the SW work scope. The SW IPT Lead interfaces with the Program Manager, Chief Engineer, System Architect, other engineering team leads, as well as the customer.
**What You'll get to Do:**
+ Serve as the cost account manager for the software engineering responsibilities
+ Oversee the planning and execution of software functions for the EGI-M program
+ Setup and conduct scrum-Agile ceremonies (PI planning, Sprint planning, sprint reviews, sprint retrospectives, PI reviews)
+ Identify and mitigate execution risks to software development on the program
+ Determine the staffing needed to develop software and work with functional managers to adjust staffing within multiple scrum teams
+ Serve as a technical interface with the customer
+ Collect, manage and report the program metrics and Technical Performance Measures (TPMs)
+ Coordinate between the System Architect, Chief Engineer, Systems Engineering Integration & Test Lead, program manager, and customer to ensure the integrity and executability of the program technical baseline
+ Align measurement objectives and activities to meet program performance goals and objectives
+ Minimum 10% travel to be expected (to customer, supplier, and other Northrop Grumman locations)
**Basic Qualifications:**
+ Bachelor's degree with 14 years of experience in Software Engineering, Computer Engineering, Computer Science, or related technical fields, a Master's degree with 12 years of experience or a PhD with 9 years of experience.
+ Risk and Opportunity Management experience
+ Knowledge Earned Value Management (EVM)
+ Experience measuring software development progress against baseline estimates
+ Experience directing multiple, large software development teams
+ Experience in software development, integration, and test
+ Demonstrated great communication skills
+ US Citizenship
+ Ability to obtain/maintain a DoD Secret Clearance
+ Ability to work onsite full-time in Woodland Hills, CA
**Preferred Qualifications:**
+ Cost Account Management (CAM) certification / experience
+ Experience managing an Agile software backlog
+ Experience with Jira
+ Experience developing cost and schedule estimates for software development of DoD or Commercial Avionics
+ Experience with DO-178C Safety Critical Software development processes
+ Experience with DO-331 Model Based Software development processes
+ Knowledge of Inertial Measurement Units (IMUs) or Navigation equations / processing
+ Experience managing cost accounts of $10M+ Active DoD Secret Clearance
NGFeaturedJobs
**Salary Range:** $183,100 - $274,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Woodland Hills, CAR10151151CaliforniaCASr. Staff Software IPT Lead / CAMNone65F045057C0044E5884FE90168F75980http://northropgrumman-veterans.jobs/65F045057C0044E5884FE90168F7598023ClearfieldNorthrop GrummanUnited StatesUSA2024-03-29 06:15:23At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems Business Unit (ASBU) has openings for a **Dimension Control Technician 3/4** to join our team of qualified, diverse individuals. This position will be located in **Clearfield, UT.**
TheDimension Control Technician will be a part of the Tool Die Making team within the Metrology department and will have considerable knowledge of the job with substantial acquaintance with an understanding of general aspects of the job with a broad understanding of the detailed aspects of the job and be able to perform more advanced functions and resolve routine questions and problems.
The ideal candidate for this role will have excellent verbal and written communication skills with the ability to communicate, and collaborate across all levels, will thrive in a fast-paced team-oriented work environment with high expectations, enjoy significantly diverse assignments, demonstrate a high attention to detail to ensure accuracy of the product and have high integrity to self-report errors to a supervisor when detected. If you are comfortable in this high-energy environment and enjoy having a variety of tasks to keep you engaged, this is the opportunity for you!
****This position requires a willingness to work any shift including nights/weekends and requires onsite presence due to the nature of the role.****
**Essential Functions / Responsibilities:**
+ Lay out, fabricate, and assemble a variety of standard and nonstandard major jigs, fixtures, tool masters, master tooling gauges, and related tooling involving compound angles and complex contours where the establishment and coordination to exacting tolerances of numerous tooling holes and reference points between several planes are required.
+ Plan work to be performed and determines methods and sequence of operations working from tool design drawings or own designs.
+ Sets up and operates optical instruments to check overall and detailed alignment, fit, or adjustment of assemblies.
+ Develop and designs holding devices and jig and fixture details.
+ Prepare operational sequences and shop drawings covering parts fabrication and assembly to be performed by others.
+ May monitor and verify quality in accordance with statistical process or other control procedures.
We offer aflexible work schedules, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**This position may be filled as a Level 3 or Level 4 based on the qualifications outlined below.**
**Basic Qualifications Level 3:**
+ High school diploma or equivalent with 4 years of related education and/or experience with tool building and laser tracking in a manufacturing environment
+ Experience devising reference systems and creating working build models
+ Ability to frequently move and position objects weighing 35 pounds and ascend and descend ladders
+ Ability to work inside and outside in a warehouse environment and a shop floor environment
+ Ability to work within MS applications i.e., MS Word, Excel, and Adobe PDF
+ Active DoD Secret Security Clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation)
+ Ability to obtain and maintain Special Access Program (SAP) clearance within a reasonable timeframe as required by the business prior to the commencement of employment
**Basic Qualifications Level 4:**
+ High school diploma or equivalent with 6 years of related education and/or experience with tool building and laser tracking in a manufacturing environment
+ Experience devising reference systems and creating working build models
+ Ability to frequently move and position objects weighing 35 pounds and ascend and descend ladders
+ Ability to work inside and outside in a warehouse environment and a shop floor environment
+ Ability to work within MS applications i.e., MS Word, Excel, and Adobe PDF
+ Active DoD Secret Security Clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation)
+ Ability to obtain and maintain Special Access Program (SAP) clearance within a reasonable timeframe as required by the business prior to the commencement of employment
**Preferred Qualifications:**
+ Working knowledge of best practices and care with multiple computer aided metrology systems such as laser trackers, articulated arms, scanners 6DoF (Six Degree of Freedom)
+ 4 years of Tool and Die or related experience
+ Experience in reverse engineering and model building from collected data
+ Knowledge of Integrated Systems Air Frames and Aero Structures
+ Experience with computer aided graphic interfaces (Verisurf X, SA and Maya matrix, Build!IT, and Polyworks)
**What We Offer:**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer comprehensive benefits including competitive medical and dental options, a great 401K matching program, college education assistance, annual bonuses, 14 Employee Resource Groups inclusive of all employees, and opportunities for career advancement across North America!
**Salary Range:** $29 - $48
**Salary Range 2:** $34 - $56
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10154837UtahUTDimension Control Technician 3/4NoneE12F4F1A55EE4451A6DB65D417DF8973http://northropgrumman-veterans.jobs/E12F4F1A55EE4451A6DB65D417DF897323SykesvilleNorthrop GrummanUnited StatesUSA2024-03-29 06:15:22At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Northrop Grumman Mission Systems is currently seeking a **Systems Engineer or Principal Systems Engineer** for our **Sykesville, Maryland** location in our Power/Control Systems organization.
The qualified candidate will become part of Northrop Grumman's high performing, Systems Engineering team in developing, supporting and documenting military and commercial networked machinery control systems. The qualified applicant will be exposed to all phases of the program life cycle from concept development through integration, test and support. We offer a dynamic work environment providing the highest level of engineering, product design and system support for our nation's aircraft carriers and submarines, as well as other naval and ground based system platforms.
**What You'll get to Do:**
+ Perform models and simulations, trade studies, generate systems engineering documents, reports and operational documentation.
+ Develop requirements, system and sub-system specifications through use of MBSE tools & DOORS.
+ Generate and present material to support internal and external customer briefings.
+ Develop and modify test plans and procedures.
+ Support system integration and test activities
+ Generate of verification artifacts supporting system Design Verification Testing and system Acceptance Testing
The requisition may be filled as a Systems Engineer or Principal Systems Engineer .
**Basic Qualifications for a Systems Engineer:**
+ Bachelor's Degree in a STEM discipline (Science, Technology, Engineering, Math) with 2 years of relevant experience; 0 years' experience with a Master's Degree.
+ Experience in MBSE, DOORS, Agile Development
+ Experience in one or more of the following system engineering knowledge areas: technical planning, requirements derivation, functional analysis, timeline analysis, trade studies, cost and risk analysis, interface definition, system design, system integration, verification and validation, supportability, and effectiveness analyses for total systems.
+ Demonstrated experience in electrical power distribution and design systems.
+ Strong written and verbal communication and interpersonal skills with the ability to work within diverse teams.
+ US Citizen with ability to obtain and maintain a DoD Secret clearance.
**Basic Qualifications for a Principal Systems Engineer:**
+ Bachelor's Degree in a STEM discipline (Science, Technology, Engineering, Math) with 5 years of relevant experience; 3 years' experience with a Master's Degree. 0 years experience with a PhD.
+ Experience in MBSE, DOORS, Agile Development
+ Experience in one or more of the following system engineering knowledge areas: technical planning, requirements derivation, functional analysis, timeline analysis, trade studies, cost and risk analysis, interface definition, system design, system integration, verification and validation, supportability, and effectiveness analyses for total systems.
+ Demonstrated experience in electrical power distribution and design systems.
+ Strong written and verbal communication and interpersonal skills with the ability to work within diverse teams.
+ US Citizen with ability to obtain and maintain a DoD Secret clearance.
**Preferred Qualifications:**
+ Current DoD Secret clearance, recent investigation or CE
+ Experience with nuclear power generation or software enabled real time control systems.
+ Experience in MBSE, system architecture development and system design
+ Proficiency with lab test equipment such as oscilloscopes, function generators, and multimeters
+ Experience with simulation tools (e.g. Simulink, Saber, SPICE)
+ Experience with analog electronics, digital electronics, control circuit cards, and embedded software
+ Experience with the United States Navy or other military departments
+ Experience in testing and troubleshooting hardware and/or software
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**NGFEATUREDJOB**
**Salary Range:** $83,300 - $124,900
**Salary Range 2:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Sykesville, MDR10151196MarylandMDSystems Engineer or Principal Systems EngineerNone2251CE101BBB495E939CE3564540BECAhttp://northropgrumman-veterans.jobs/2251CE101BBB495E939CE3564540BECA23ChandlerNorthrop GrummanUnited StatesUSA2024-03-29 06:15:21At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Space PMO organization is **seeking a Computer Operations Analyst at its Chandler, AZ location** . The selected candidates primary focus will be Windows administration support and enclave compliance activities.
**Job duties will include:**
Develops computer systems specifications that address business requirements and that fit with the company's system architecture standards. Establishes and documents system parameters and formats, ensures hardware and software systems compatibility and coordinates and/or modifies system parameters in terms of existing and projected computer capacity and capabilities. Revises existing systems and procedures to correct deficiencies and maintain more effective data handling, conversion, input/output requirements, and storage.
• Provide technical support for computers and associated networks.
• Provide client and server hardware or software support.
• Connect clients, servers, and terminals to existing data networks.
• Instruct users in the use of hardware and networks.
• Investigates information, network, and communications needs of users, and makes recommendations regarding software and hardware purchases.
• Assist InfoSec with DFARS, CMMS compliance responsibilities.
***Possible on call rotation as required. This role has flexible work hours, with after-hours support occasionally.**
**Specific responsibilities supporting Engineering and Manufacturing Operations:**
• Off-network and lab environments - setup, maintenance, compliance and vulnerability remediation; support client and server domain migration.
• Engineering and Manufacturing system support - setup, maintenance, troubleshoot compliance and vulnerability remediation.
• Setup and configure rack mount workstations.
• Server application support.
• Engineering application support - install, configure, and troubleshoot engineering applications
• Network data port activation and testing.
• Plus, other duties as required, including:
+ Provide technical support for computers; install, troubleshoot, service, and repair personal computers, related PC software, and peripherals.
+ Instruct users in the use of personal computers.
+ Investigate information, network, and communications needs of users, and make recommendations regarding software and hardware purchases.
+ Provide Windows systems management.
+ Provides offnet environment support.
+ Provides basic network administration.
+ Perform root cause analysis for complex issues and document technical solutions.
+ Work with software vendors to support third party applications.
+ Provide any technical support above and beyond the Tier1/2 support vendor.
+ Provide on call support for production-impacting incidents, as needed.
+ Monitors ticket queues to ensure SLAs are met.*This role can be performed by a level 2 or level 3 PC Network Support Technician.
**Basic Qualifications:**
+ At Minimum, a Bachelor's Degree with 2 years of relevant experience OR an Associate's Degree with 4 years of relevant experience OR a High School Diploma/GED with 6 years of relevant experience.
+ Current CompTIA A+, or Network+, or Security+ certification OR must have the ability to obtain one within 6 months of start date.
+ Ability to obtain and maintain a DoD Secret level security clearance if required.
+ Experience supporting manufacturing operations, hardware, software, etc.
+ Proficiency in Microsoft products such as Windows Desktop OS and Office Product Suite.
+ Experience in Active Directory configuring user and computer accounts
+ Strong troubleshooting abilities
+ Ability to work after hours and weekends, as needed
+ Strong understanding of networking principles (such as the OSI model)
+ Ability to lift equipment weighing up to 40 pounds.
**Preferred Qualifications:**
+ CompTIA Network+ or equivalent
+ CompTIA Security+ CE or equivalent DOD 8570 IAT level 2 certification
+ Experience operating under and managing systems within NISPOM Chapter 8, DCID 6/3-ICD 503, RMF, STIG, JAFAN, or JSIG information system environments.
+ Familiarity with DFARS and NIST InfoSec controls.
+ Solid understanding of Enterprise-level storage concepts to include all commonly used RAID-levels.
+ Experience using ServiceNow in an enterprise environment.
+ Proficiency in remote administration and troubleshooting of desktop PCs, Visio, PowerShell.
+ Experience supporting VMware VDI (Virtual Desktop Infrastructure).
+ Customer and detail oriented and possess good decision-making ability.
+ Bachelor's degree in relevant discipline.
+ Cross-platform experience (ie. Windows, Linux, Solaris, OSX, UNIX, etc.)
+ Ability to work independently; appropriately escalate issues and roadblocks to leadership.
+ Superior customer service and service delivery. Maintains composure in difficult situations, with challenging customers.
+ Demonstrates personal integrity and high ethical standards in customer contacts as well as all internal relationships.
+ Ability to master unfamiliar information, tasks, and situations quickly and effectively.
+ Excellent communication skills, written and verbal.
+ Experience with engineering applications.
+ Ability to manage multiple priorities and time-sensitive mission critical needs.
**Salary Range:** $68,500 - $102,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Chandler, AZR10154302ArizonaAZComputer Systems AnalystNone1E467A6DE55849EF9872265B7B6AF546http://northropgrumman-veterans.jobs/1E467A6DE55849EF9872265B7B6AF54623ClearfieldNorthrop GrummanUnited StatesUSA2024-03-29 06:15:21At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
**Northrop Grumman Aeronautics Systems** has openings for **Associate Engineer / Engineer** **Manufacturing** to join our team of qualified, diverse individuals. The selected candidates will support process design and respond to production issues related to aircraft manufacturing (composite layup, cure, machining, assembly, metallic assembly, and subsystems). The **shift** for this role is **Friday** , **Saturday** and **Sunday** from **6:00 pm to 6:30 am** . **17.5% shift differential** applies. **This position is located at our Clearfield, Utah facility.**
Many individuals have a desire to work on secured military programs, but they lack the required DoD clearance. This posting employs the Northrop Grumman Talent Reserve process which enables qualified applicants to obtain a DoD clearance, thus enabling them to work on secure programs.
Want to work on the cutting edge of aerospace? Come join us!
**Responsibilities** :
+ Develops manufacturing process structure - instructions, materials, tooling, equipment, and software required to fabricate the product.
+ Identifies process improvements, captures feedback from Operations, and incorporates into the manufacturing process.
+ Creates and maintains the planning work instructions.
+ Reviews design changes and specification changes and ensures they are captured in the manufacturing process.
+ Promptly responds to technical issues that arise on the production floor utilizing work instructions, design models, and specifications.
+ Clarifies work instructions to Operations and crafts modifications as needed.
+ Leads multifunctional teams to solve production issues.
+ Documents issues and disseminates information to core manufacturing engineering for incorporation into future releases.
+ Resolves issues by collaborating with other resource groups as needed.
+ Gets involved in MRB repairs and special processes to assist Operations as needed.
+ Performs PFMEA and RCCA investigations.
+ Creates and maintains MBOMs.
The ideal candidate thrives in a fast-paced work environment with diverse assignments. Our team is highly collaborative, working in team settings across all levels and subject areas including operations, design engineering, supply chain, and mission assurance. We enjoy working in a production environment and being hands on to solve problems that influence company performance.
Positions are available on day, night, and weekend shifts. Night and weekend shifts include a pay differential.
**This role may be filled at a Level 1 or Level 2 based on the qualifications below.**
**Basic Qualifications:**
**Associate Engineer (Level 1)**
+ Bachelor's Degree in STEM Field (Science, Technology, Engineering or Mathematics) and 0 years of work experience.
+ Active DoD Secret Clearance.
+ Ability to work D-shift "6:00pm - 6:30am", Friday, Saturday & Sunday.
**Basic Qualifications:**
**Engineer Manufacturing (Level 2)**
+ Bachelor's Degree in STEM Field (Science, Technology, Engineering or Mathematics) and 2 years of work experience in Manufacturing, Production, Industrial, Design, Aerospace, or Mechanical engineering.
+ Active DoD Secret Clearance.
+ Ability to work D-shift "6:00pm - 6:30am", Friday, Saturday & Sunday.
**Preferred Qualifications**
+ Active DoD Secret Security Clearance
+ Special Program Access
+ GD&T experience in aircraft manufacturing
+ Proficiency with NX11
+ Experience with aircraft composites layup, material curing, and trim processes
+ Experience with aircraft assembly of composite, aluminum, and titanium components
+ Experience with wiring and hydraulic installation
+ Liaison / Floor production engineering experience
+ Work instruction planning experience.
+ Experience with formal root cause and corrective action processes (PFMEA, Fault Tree, etc.)
+ Project leadership experience
+ Fluent with PDM, MRP, and EWI business systems
**What We Offer:**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer exceptional benefits/healthcare, a great 401K matching program, and 14 Employee Resource Groups inclusive of all employees!
**Salary Range:** $55,100 - $82,700
**Salary Range 2:** $66,600 - $99,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10154700UtahUTAssociate Engineer/ Engineer Manufacturing (Weekend Shift)(D-Shift) 6:00am - 6:00pmNone4DBC5D1BBA584628B0641CBA1A781259http://northropgrumman-veterans.jobs/4DBC5D1BBA584628B0641CBA1A78125923Redondo BeachNorthrop GrummanUnited StatesUSA2024-03-29 06:15:21At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
This position description does not represent a current opening but may be used to identify candidates with skills and experience for positions within Northrop Grumman that frequently become available. Candidates who express an interest may be considered for future positions at Northrop Grumman.
**This position is currently contingent on program award and associated program award funding. Start dates are determined after funding confirmation.**
Northrop Grumman Aeronautics Systems has an opening for a **Staff Engineer, Air Vehicle Configuration Design and Integration** to join our team of qualified, diverse individuals. This position will be located in Redondo Beach, CA.
The ideal candidate will possess a background in air vehicle design and overall shaping, with practical experience in the development of new air vehicle concepts, including experience/knowledge relating to conceptual vehicle layout/design, air vehicle integration, parametric modeling for Multi-Disciplinary Optimization, and surface design / loft. To be successful, the selected individual shall have exposure to the fields of aerodynamics, aircraft performance and sizing, propulsion, CFD, structural design, and mass properties analysis. In addition, the selected individual will have an understanding of the air vehicle maturation process as a design transition from concept through manufacturing and flight test.
Essential Functions:
+ Serve as a lead configurator, managing a team of configuration engineers
+ Regularly interface and brief leadership, plan schedules, budgets and SOW.
+ Lead the Configuration Design and Integration team through the development and overall system shaping and design of multiple advanced air vehicles concepts.
+ Lead parametric CAD models (primarily in Siemens NX) in support of conceptual vehicle sizing studies for advanced Air Vehicles.
+ Lead vehicle design configuration design and trade study tasks in conjunction with multiple team members working to solve complex vehicle design challenges across all vehicle design stages.
+ Lead detailed design, up to and including lofting/surfacing, zones development, master equipment list management, Interface Control document creation, propulsion integration, systems and subsystem CAD integration.
+ Participate in knowledge transfer and training of other teammates
The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, collaborative/team settings across all levels.
Basic Qualifications for a Staff Aeronautical Engineer:
+ Bachelor's Degree in a STEM (Science, Technology, Engineering or Mathematics) discipline from an accredited university and 14 years of engineering experience OR Master's Degree and 12 years of engineering experience OR a PhD. Degree and 9 years of experience.
+ 7 years of experience supporting the design and modeling of advanced air vehicle concepts
+ Demonstrated proficiency with an industry standard design tool such as Siemens NX, CATIA V5, or ProE CAD.
+ Demonstrated proficiency in MS Office with good technical writing skills and the ability to collaborate, communicate and present technical material to various stakeholders.
+ **Must have a current active in-scope DoD Secret security clearance or higher, with the ability to obtain Special Program Access (SAP).**
Preferred Qualifications:
+ Bachelor's or Master's Degree in Aeronautical or Aerospace Engineering preferred.
+ Design experience relating to the development, sizing, design of manned and unmanned Air Vehicles across multiple speed regimes
+ Knowledge relating to design principles in disciplines such as: aerodynamics, aircraft performance and sizing, propulsion, CFD, structural design, low observables, mass properties, and guidance navigation control
+ Excellent communication, interpersonal skills, and the ability to interface with all levels of employees and management.
**Salary Range:** $165,000 - $247,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Redondo Beach, CAR10154773CaliforniaCAStaff Aeronautical Engineer (Air Vehicle Configuration Design and Integration)None5E3F21AAF0D24C2787BAA897F34662BDhttp://northropgrumman-veterans.jobs/5E3F21AAF0D24C2787BAA897F34662BD23Unknown CityNorthrop GrummanUnited StatesUSA2024-03-29 06:15:21At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems, a leader in software defined, hardware enabled systems for national defense applications, is developing a next-generation Product Lifecycle Management (PLM) system for Engineering and Manufacturing product and process data. This system will be the foundation of our digital transformation and the future of advanced Engineering and Manufacturing capabilities and automation within the company, including Model-Based Engineering and Additive Manufacturing.
As a member of the PLM Process and Architecture Team you will be participating in deploying the new PLM system across Engineering, Manufacturing, and other non-technical functions in the MS sector that meets cost, schedule, and quality requirements.
Northrop Grumman's Mission PLM program is actively seeking a talented Product Lifecycle Management (PLM) Product Owner / Systems Engineering expert to support the deployment of Teamcenter throughout Northrop Grumman Mission Systems as the PLM Solution.
Responsibilities will include (but are not limited to):
+ Working collaboratively to compile business requirements and software capabilities into a cohesive and optimized toolset along with sector and enterprise PLM roadmaps, gathering business partner requirements and performing gap analyses.
+ Designing and developing new systems, applications, and solutions for external customer's enterprise-wide cyber systems and networks.
+ Leading an Agile Development team as Product Owner, bridging the gap between the MS business stakeholders and infrastructure team.
+ Defining and prioritizing the product backlog and ensuring that the team is working on the most valuable features and that the product is aligned with the overall business strategy.
The Senior Principal Agile Product Owner will be leading a team that delivers and manages the system infrastructure, defines and implements DevOps practices, and supports the development and production Teamcenter environments along with engineering tool integrations.
Additional Roles and Responsibilities:
+ Performing technical planning, system integration, verification and validation, cost and risk, and supportability and effectiveness analyses for total systems.
+ Performing analysis at all levels of total system product to include: concept, design, fabrication, test, installation, operation, maintenance and disposal.
+ Ensuring the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints.
+ Performing functional analysis, timeline analysis, detail trade studies, requirements allocation and interface definition studies to translate customer requirements into hardware and software specifications.
**Basic Qualifications:**
- BS + 9 years of relevant exp; MS + 7 years of exp (An additional 5 years of relevant experience may be considered in lieu of the degree requirement)
- Experience with Model based system Engineering concepts.
- Proven disciplined System Engineering experience with requirement-driven design.
- Experience working in Agile (SaFE) environments preferably as a Product Owner
- Experience leading cross functional initiatives working with stakeholders and subject matter experts to identify and refine requirements
- Experience leading and tasking integrated teams with diverse skillsets
- **Expertise in one or more of the following focus areas: Teamcenter deployment and administration, Data migration techniques and validation, Teamcenter development, DevSecOps Pipeline, Testing Automation, or Enterprise Application Integrations**
- Demonstrated technical leadership experience is highly preferred.
**Preferred Qualifications:**
- Experience with designing and developing complex systems
- Excellent written and verbal English communication skills
- Experienced in Agile and SAFe (Agile) methodologies
- Sharp analytical and problem solving skills with attention to detail
- Team player with strong work ethic and ability to proactively execute
**Salary Range:** $121,000 - $181,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Unknown City, MDR10154551MarylandMDSr. Principal Agile Product OwnerNone7F7C4D3A42E44524B3EFCF8717558B69http://northropgrumman-veterans.jobs/7F7C4D3A42E44524B3EFCF8717558B6923RoyNorthrop GrummanUnited StatesUSA2024-03-29 06:15:21At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a Staff Systems Engineer - IFC. This position is located in Roy, UT and supports the Sentinel program.
**What You'll Get To Do**
The selected candidate will join the Integrated Functional Capability (IFC) team within the System Engineering Directorate. The IFC team is responsible for coordinating the development and execution of the IFCs in accordance with the Integrated Master Schedule to support major milestone events. This role requires support of the team leads in close coordination and collaboration with the other Integrated Product Teams (IPTs) responsible for HW/SW execution to identify the system functions for an incremental delivery of a significant development program and define the specific configuration of hardware and software elements required for these functions along with the program timing.
**Position Benefits**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package.
**Basic** **Qualifications:**
+ Bachelor's degree in a Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university and 14 years of related engineering experience with a Bachelor's degree, 12 years of experience with a Master's degree, 9 years of experience with a PhD
+ Must be a US Citizen with an active DoD Secret Clearance with an investigation date within the last 6 years
+ Must be able to be successfully screened for Enhanced Security Clearances, within a reasonable amount of time as determined by the company to meet its business needs
+ Previous systems engineering, systems integration and/or technical project management experience
+ Experience with integrating hardware and software
**Preferred Qualifications:**
+ Broad understanding of Systems Engineering practices, methodologies, and products throughout the DoD acquisition lifecycle
+ Demonstrated understanding of the Program Management Process
+ Experience with Agile/DevOps methodologies, practices, and tools
+ Prior Weapon System program experience
+ Experience with analyzing and developing requirements
+ General understanding of Northrop Grumman Corporation Engineering Processes
+ Previous experience in developing and implementing strategies to drive employee engagement
+ Excellent communication, mentoring, interpersonal skills, and ability to interface with senior management, peers, and employees
+ An active DoD top secret clearance in scope (investigation within the last 6 years) and SAP/SAR program access
**Salary Range:** $142,500 - $213,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10154635UtahUTSentinel (GBSD) - Staff Systems Engineer (IFC) (12736)NoneE783C08BF8404141B6BF20AD10A6B523http://northropgrumman-veterans.jobs/E783C08BF8404141B6BF20AD10A6B52323MelbourneNorthrop GrummanUnited StatesUSA2024-03-29 06:15:20At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Staff Supply Chain Subcontracts Specialist** to join our team of qualified, diverse individuals.
+ **Location** : Melbourne, FL
+ **Schedule:** 9/80 work schedule - with every other Friday OFF!
+ **Team:** Global Supply Chain
+ **Extras:** Opportunities for occasional travel and excellent career growth potential
**Our Team:**
This is an opportunity to join a team on the cutting edge of tomorrow's defense technologies. Work onsite in a collaborative and dynamic environment where new challenges are the norm!
On-site in Melbourne you will have the opportunity to support our nation's classified, top-priority programs, developing and building our next-generation airborne defense solutions for our country.
**About the Role** :
Sources and procures complex, specialized goods and services. Owns contractual management for the supplier. Responsible for subcontract sourcing, proposal evaluation, negotiation, award, execution, administration, and closeout phases.
Coordinates and collaborates with key stakeholders such as legal, contracts, finance, planning, operations, program management, engineering, and quality. As part of a cross-functional team, candidate will monitor and manage schedule, cost, technical and quality performance of suppliers in support of US Government programs. Subcontract Specialists will interface with supplier leadership teams to motivate and drive excellence in performance of contractual requirements.
Staff Subcontracts Specialists will additionally be responsible for providing subcontracting process and compliance requirements guidance to junior Subcontract Specialists, Supplier Management Teams and Integrated Product Team Leads. Provides subject matter expertise in all aspects of supplier and subcontract management. Works under general direction toward long-range goals and objectives. Assignments are often self-initiated. Performs independently with minimal management oversight.
**Essential Functions:**
+ Responsible for subcontract sourcing, proposal evaluation, negotiation, award, execution, administration, and closeout phases
+ Assesses supplier performance and regularly communicates feedback to Supplier Leadership
+ Manages supplier performance throughout the subcontracting lifecycle
+ Ensures compliance with all FAR, DFARS and public law requirements, as well as company policies and procedures
+ Identifies strategies and opportunities to reduce cost, improve efficiency and manage risk through strategic and targeted negotiations
+ Cultivates and maintains strong working relationships with suppliers, customers, and internal stakeholders
+ Contributes to Program Risk and Opportunity management
+ Utilizes process tools such as Lean Six Sigma with suppliers to drive quality, efficiency, and continuous improvement
The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, and collaborative team settings across all levels.
**Basic Qualifications**
+ Bachelor's Degree with a minimum of 15 years combined Business/Supply Chain Subcontracts experience OR a master's degree and a minimum of 13 years combined Business/Supply Chain Subcontracts experience at least 19 years of Supply Chain Subcontracts business experience in lieu of a degree.
+ Minimum of 5 years of Subcontracts, Procurement or Contracts experience
+ Minimum of 2 years of experience with SAP or related business application software
+ Minimum of 2 years of experience with FAR (Federal Acquisition Regulations) and DFARS
+ Ability to obtain and maintain DoD Secret clearance and Program Access as determined by business needs.
**Preferred Qualifications**
+ Master's degree in business, Global Supply Chain or Project Management
+ Experience working on high dollar development Subcontracts (i.e., $100M Cost Reimbursable Preferred)
+ Negotiating skills with contracts in excess of $100 million
+ Experience conducting oral presentations to senior leadership.
+ Previous Team Lead or Management experience
+ Knowledge of Earned Value Management System (EVMS)
+ Previous Control Account Manager (CAM) experience or training
+ Working Level Knowledge of Business Law
+ Risk mitigation experience.
+ Change Management experience.
+ In-Scope DOD Secret Security Clearance or higher that has been granted or renewed within the past 6 years
**Salary Range:** $117,800 - $176,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10153665FloridaFLStaff Supply Chain Subcontract SpecialistNoneB5A5FA9079BB445FBAB7C28889CED59Fhttp://northropgrumman-veterans.jobs/B5A5FA9079BB445FBAB7C28889CED59F23Vandenberg AFBNorthrop GrummanUnited StatesUSA2024-03-29 06:15:20At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is seeing a **Senior Principal Industrial Security Analyst (Sector Security Lead)** for Vandenberg Space Force Base (VSFB) Launch activities. This position will be located in the VSFB area.
The responsibilities will include but will not necessarily be limited to the following:
+ Ability to coordinate team functions in a geographically distributed team working in dynamic environments.
+ Supports multiple programmatic activities in addressing all security and program related requirements across the spectrum of security disciplines including physical, information, personnel, operations security (OPSEC) and launch integration security.
+ Understands critical program information (CPI), ranging from CUI through the highest level of security classification (TS/SCI).
+ Thoroughly familiar with the NISPOM, ICD's and various classification guides.
+ Understands, implements, and enforces comprehensive industrial security plans that provide protection for the movement, transportation and launch integration of classified/Unclassified hardware (to include both Space Craft and Launch Vehicles).
+ Travel maybe required.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members.
Responsibilities for this internship position are:
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoD guidance for Skillbridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals,
Objectives, and Outcomes for the program.
Goals - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
Objectives - Service Members who complete the Intern program will be highly trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
Outcome - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
DoD SkillBridge Eligibility:
+ Has served at least 180 days on active duty
+ Is within 12 months of separation or retirement
+ Will receive an honorable discharge
+ Has taken any service TAPS/TGPS
+ Has attended or participated in an ethics brief within the last 12 months
+ Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship
**Basic Qualifications** :
+ Must be a US citizen and have and be able to maintain a current, active SSBI **Top Secret/SCI** clearance.
+ 10 years of related experience with Bachelor's degree. May consider four years of additional of experience in lieu of degree.
+ Strong working knowledge of basic office automation tool suites such as MS Office (Word, Excel, PowerPoint).
+ Excellent communication, speaking and writing and organizational skills.
+ Command approval from first O-4 in Chain of Command
**Preferred Qualifications:**
+ Previous SAP experience.
+ Ability to work independently and follow projects through to completion.
+ Ability to maintain flexibility to deal with changing priorities and deadlines.
+ Excellent customer service and communication skills.
+ Completion of OSL Launch Security training program.
**Salary Range:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Vandenberg Afb, CAR10145505CaliforniaCA(Dod SkillBridge) Senior Principal Industrial Security AnalystNoneD81467BD6B034E938E062671671BB9D2http://northropgrumman-veterans.jobs/D81467BD6B034E938E062671671BB9D223AuroraNorthrop GrummanUnited StatesUSA2024-03-29 06:15:20At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Northrop Grumman Space Systems Payload and Ground Division (PGSD), Ground Enterprise Operating Unit (GESOU) is seeking a Mission Software and Enabling Products Agile Release Train (ART) Manager for the New Moon program to direct all infrastructure/database and software development in a large agile development organization.
As the selected New Moon Mission Software and Enabling Products ART Manager, you will provide the leadership necessary to develop, integrate, and deliver products for complex ground mission data processing capabilities to globally distributed operation sites. You will help manage and set objectives and priorities for multiple IPTs that combine to form a multidisciplinary team of over 100 dedicated, diverse professionals consisting of NG and subcontractor personnel from multiple partners. Your leadership will be instrumental in ensuring NG and the New Moon program delivers on our contractual commitments, provides the best mission solutions possible, and provides effective and engaged leadership to the Mission Software and Enabling Products organization. You are responsible for working with program leadership and our supporting functional teams to ensure appropriate staffing, resources, processes, tools, training, etc. are provided to support successful execution of your organization. This position will report directly to the New Moon Program Director. The new Moon program is located in Aurora, Colorado and has team members in several locations across the country.
**Key responsibilities include:**
+ Manage the cost, schedule and technical performance of the Mission Software and Enabling Products organization across multiple simultaneous projects and phases (e.g., conceptual design through delivery and installation of fully integrated mission data processing systems).
+ Advance program objectives by building and maintaining trusted relationships with program and customer leadership along with other stakeholders and by engaging in forums related to New Moon products.
+ Establish IPT priorities, schedules, and monitor adherence to program schedules and objectives to ensure solid increment planning and execution along with high-confidence roadmap plans that deliver required capabilities consistent with customer needs.
+ Ensure effective identification and management of issues, risks and opportunities. Drive issues and decisions to closure considering competing points of view, constraints, customer/stakeholder priorities and program strategic objectives.
+ Leverage the New Moon program mission, architecture, and software development expertise to provide forward-thinking solutions that conform to customer constraints (funding, capabilities of related systems, etc.).
+ Build and sustain an effective, engaged, and integrated team in a complex, geographically disperse, partner-rich, and dynamic environment.
+ Act as primary program contact for infrastructure and mission software products, and work with program leadership to monitor and address cost, schedule, and technical performance.
+ Effectively coordinate and collaborate with program and NG functional leadership to ensure required staffing, processes and infrastructure are available to efficiently execute program objectives.
**Basic Qualifications:**
+ Bachelor's Degree in a STEM discipline from an accredited university with 15 years of combined work experience relevant to technical, functional, project management or major IPT leadership supporting DoD, Intelligence Community, or other Federal Government Systems
+ 8 years of organizational management or major IPT leadership experience
+ Demonstrated ability to create trusted relationships with stakeholders
+ Demonstrated ability to lead complex software development activities comprised of multiple teams and engineering disciplines
+ Excellent interpersonal skills, a collaborative management style, and an inherent drive to deliver on commitments.
+ Excellent verbal and written communication skills
+ Familiarity with and willingness to work in a secure environment.
+ Leadership experience building effective and engaged multi-discipline teams.
+ TS/SCI clearance w/SSBI
**Preferred Qualifications:**
+ Experience leading large agile software development efforts
+ Experience developing data processing software systems in the defense industry
+ Demonstrated depth of experience in System Engineering and/or Software Development
+ Excellent conflict management and negotiation skills
+ Effective dealing with personnel issues
+ Proven ability to effectively work across multiple organizations to form teams, gain internal support, act with speed, and make tough decisions
**Salary Range:** $172,500 - $258,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Aurora, COR10154709ColoradoCOManager Programs 3NoneF882612D2379406EB73973B5E14F8487http://northropgrumman-veterans.jobs/F882612D2379406EB73973B5E14F848723LinthicumNorthrop GrummanUnited StatesUSA2024-03-29 06:15:19At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The **Payload and Ground Systems** organization within the **Northrop Grumman Space Systems** pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
**Northrop Grumman Space Systems** and the **Remote Sensing Programs** teams is seeking an **Associate Engineer Systems.** This position will be located at our **Linthicum, Maryland** location. This is an on-site position not eligible for remote work.
**Responsibilities include:**
+ Perform analyses at all levels of total system product to include concept, design, fabrication, test, installation, operation, maintenance, and disposal.
+ Ensure the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints.
+ Perform functional analysis, timeline analysis, detail trade studies, requirements allocation and interface definition studies to translate customer requirements into hardware and software specifications.
**Basic Qualifications:**
+ 0 years with a bachelor's degree STEM.
+ Active Secret clearance is required to be considered.
+ The willingness to obtain and maintain a TS/SCI.
**Preferred Qualifications:**
+ Active TS/SCI
**Salary Range:** $68,800 - $103,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10154736MarylandMDAssociate Engineer Systems (Active DoD Secret Required)None2A1BB7C67B3C454B92989F8C5D6C1891http://northropgrumman-veterans.jobs/2A1BB7C67B3C454B92989F8C5D6C189123RoyNorthrop GrummanUnited StatesUSA2024-03-29 06:15:19At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman's Space Systems sector is seeking a Principal Systems Engineer/Sr. Principal Systems Engineer on the Command and Launch (C&L) Node Integration Team. This position is in our Strategic Deterrent Systems Division to execute the Engineering and Manufacturing Development phase of the Sentinel (GBSD) program in support of the United States Air Force. Learn more about the Sentinel program here . This position will be located on campus in **Roy, UT** and may require on-site support at product manufacturing and integration sites.
**What you will get to do:**
Successful candidates will participate in developing and maintaining C&L integrated models for various facilities supporting the program. The selected engineer will be experienced working in requirements repositories and model-based system engineering. Successful candidates will also be responsible for being the liaison between requirements, design, and production. As a part of a Node Integration Team, you will work with your team to:
+ Track progress (owns/collects metrics for Product Definition Packages (PDPs))
+ Responsible for realizing the Node - and owning the integrated node production.
+ Own and Define the Drawing Tree
+ Own and Define the Integrated Node Architecture
+ Own and Develop Integrated Node CDRs
+ Verify Node Integration requirements.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package. This requisition may be filled at a higher grade based on qualifications listed below.
\#GBSDsystems
**Basic Qualifications** :
**Principal Engineer Systems** : Bachelor's degree in Science, Technology, Engineering or Math (STEM) with 5 years' experience; 3 years' experience with a Masters' degree; or 0 years' experience with a PhD
+ 1 year of Experience in Aerospace, Software, Computer, and/or System Engineering
+ Must be a US Citizen with an active DoD Secret Clearance with an investigation date within the last 6 years.
+ Ability to obtain and maintain Special Access Program (SAP) Clearance
+ 1 year of experience with systems engineering principles and processes
+ 1 year of proven knowledge of design tools such as TeamCenter/NX
**Sr. Principal Engineer Systems** : Bachelor's degree in Science, Technology, Engineering or Math (STEM) with 9 years' experience; 7 years' experience with a Masters' degree; or 4 years' experience with a PhD
+ 4 years of Experience in Aerospace, Software, Computer, and/or System Engineering
+ Must be a US Citizen with an active DoD Secret Clearance with an investigation date within the last 6 years.
+ Ability to obtain and maintain Special Access Program (SAP) Clearance
+ 1 year of experience with systems engineering principles and processes
+ 1 year of proven knowledge of design tools such as TeamCenter/NX
**Preferred Qualifications** :
+ Systems Engineering experience, including understanding of the systems engineering V and requirements management in DOORS.
+ Experience with C2, Physical Security, Cybersecurity, and/or Communications systems
+ Experience developing OPSCONS or CONOPS
+ ICBM experience
+ Experience with Model-Based Systems Engineering (MBSE), including DODAF, SysML, and Cameo Enterprise Architect
+ Active Top Secret security clearance
+ Ability to derive requirements from high level requirements.
+ Ability to learn requirement management in DOORS.
**Salary Range:** $92,600 - $139,000
**Salary Range 2:** $114,900 - $172,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10150716UtahUTSentinel (GBSD) - Principal / Sr. Principal Engineer Systems (LSS)None819EE1BEAC884292A74816B7751CB55Ahttp://northropgrumman-veterans.jobs/819EE1BEAC884292A74816B7751CB55A23ChantillyNorthrop GrummanUnited StatesUSA2024-03-29 06:15:19At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a Senior Principal Network Systems Engineer to join our team of qualified, diverse individuals within our Systems Engineering organization. This position is located in Chantilly, VA.
In this role you will be a results-oriented technical project lead and architect covering projects across network architecture, infrastructure, and data storage to provide guidance and enable the buildup of a scalable infrastructure to support robust communication across assets, centralized capabilities, and data dissemination. You will have the ability to drive cross-function technical maturation/evolution of network solutions. Additionally, you will provide technical root cause evaluations, technical recommendations, data analysis, system integration, and troubleshooting with minimal oversight.
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Educational assistance is available to employees who want to continue to learn and develop skills/knowledge. Our educational assistance program supports employee development by providing resources such as:
+ Free Education Advice: Call 855-222-2398 to speak with an experienced advisor to tailor an educational program to your career goals and needs.
+ Tuition Savings at Accredited Schools: Access a network of accredited schools in a variety of locations (and online) to take advantage of reduced tuition costs and waived fees.
+ Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees
**Essential Functions:**
+ Technical leadership over the buildup of our network infrastructure, including a specific, immediate need to development a data storage solution. Directly leads the technical execution of network/infrastructure development and implementation.
+ Ownership over network documentation, including high-level and detailed design, topology depictions, port and protocol documentation, security boundaries/classifications, IP and tunneling, etc.
+ Supports the development, decomposition and documentation of system-segment-software/hardware requirements
+ Ensures the right solutions are being developed, via collaboration with internal stakeholders and end-user/customer counterparts.
+ Serves as a technical leadership for network/infrastructure for factory reach back and suppliers/subcontractors' integration.
+ Supports risk mitigation and opportunity generation.
**Basic Qualifications:**
+ Must have a Bachelor of Science degree in a STEM discipline and 9 years of related military / professional experience in engineering, or a Master's degree in STEM discipline and 7 years of related military / professional experience in engineering, or a PhD in a STEM discipline and 4 years of related military / professional experience in engineering.
+ Must have an active DoD Top Secret clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation) OR must have held an active DoD Top Secret clearance within the past 2 years and be in-scope for reinstatement
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance
+ Must have previous experience with network architecture and topologies, protocols, IP and tunneling, and security boundaries.
+ Must have previous experience in documentation, change packages, design depictions and requirements
**Preferred Qualifications:**
+ Strong cross-functional team leadership and communication skills
+ Knowledge and experience with the DevSecOps methodologies and practices
+ Knowledge and experience with the Software Development Lifecycles (SDLC)
+ Knowledge and experience with virtualization technology, microservices, containers and container orchestration tools, build tools, and continuous integration environments
+ Experience with root cause investigations, fielded issue investigation, and operational missions
+ Cloud-based architecture, and virtual network expertise
+ Skilled at defining, motivating and driving change across multiple contracts/business areas with a dual focus on supporting the end-user mission and ensuring business value
+ Advanced technical knowledge in network architecture
**Salary Range:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Chantilly, VAR10154694VirginiaVANetwork Engineer (Senior Principal Level; Top Secret Clearance Required; Chantilly VA)None8C8800F53D944E9FBAB9B44B12E21F4Dhttp://northropgrumman-veterans.jobs/8C8800F53D944E9FBAB9B44B12E21F4D23Warner RobinsNorthrop GrummanUnited StatesUSA2024-03-29 06:15:19At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Northrop Grumman Defense Systems is seeking a Security Coordinator for our Warner Robins, GA location. This is a multi-faceted security position, for the support of the Industrial Security Team of the Warner Robins, GA Campus as it relates to all applicable classified federal, contractual, customer and company requirements.
**Roles and Responsibilities:**
+ Produces employee and guest badges, identification cards, and security reader cards
+ Processes security information and data for employment records, security clearances, and property control
+ Collects and submits electronic fingerprints, handprints and optical reading into systems
+ Maintains lock and key records
+ Processes visit authorizations for employees and guests to gain access to restricted areas
+ Verifies accuracy of information prior to issuing clearances. Maintains controlled security documents and information
+ Perform access badge inventories and ensure supplies are in stock
+ Program badges for access to facilities
+ Conduct pin resets
+ Maintain the Onebadge outlook calendar
+ Support additional security processes when necessary
**Basic Qualifications:**
+ High school degree/GED with 4 years of additional education and/or related experience
+ Current active SECRET security clearance
+ Must have excellent oral skills
+ Ability to work in a fast-paced environment
**Preferred Qualifications:**
+ Experience with inventory management and tracking
+ Experience with Security Information Management System (SIMS) Software.
+ Experience with LENEL system
+ Experience with DISS
+ Time Management Skills
**Salary Range:** $42,000 - $70,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Warner Robins, GAR10154609GeorgiaGASecurity Coordinator 3 (Secret Clearance)NoneC71F220161534990BF2D26DAD6692F98http://northropgrumman-veterans.jobs/C71F220161534990BF2D26DAD6692F9823PalmdaleNorthrop GrummanUnited StatesUSA2024-03-29 06:15:19At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautic Systems has an opening for a **Principal Hardware/Software Integration Engineer/Sr. Principal Hardware/Software Engineer** to join our team of qualified, diverse individuals. This position will be located in **Palmdale, CA.**
**Essential Functions:**
+ Perform integration and test of software/hardware components into a single system on the host environment.
+ Work in development, lab, and operational
+ Integration and testing of a component in complex system in the appropriate environment.
+ Perform integration, test and troubleshooting across multiple networks interfacing with customer SMEs.
+ Ensure that through documentation is created and maintained at the level appropriate on any sell-off event and to allow others to integrate in the future.
+ Facilitate collaboration with software developers, system engineers, hardware engineers, and integration/test engineers to diagnose and identify the root cause of problems found during software installation, integration, acceptance test, and operational events.
+ Develop and execute installation, integration, and acceptance test procedures.
+ Develop quality technical documentation.
+ Submit detailed problem reports.
**This position may be filled by either a Principal Hardware/Software Integration Engineer level or a Sr. Principal Hardware/Software Integration Engineer level based on the qualifications listed.
**Basic Qualifications for a Principal Hardware/Software Integration Engineer:**
+ Bachelor of Science Degree in a STEM (Science, Technology, Engineering or Math) related field with 5 or more years of engineering experience; OR MS in STEM with 3 years or more years of engineering experience; OR a PhD in STEM with 0 years of experience.
+ Active, in-scope Top Secret
+ Ability to obtain and maintain Special Program Access (SAP/PAR) to start. This SAP must be obtained within a reasonable amount of time as determined by the company to meet its business needs.
+ Ability to obtain a Security+ certification within a reasonable amount of time.
+ Work in Palmdale restricted environment
**Basic Qualifications for a Sr. Principal Hardware/Software Integration Engineer:**
+ Bachelor of Science Degree in a STEM (Science, Technology, Engineering or Math) related field with 9 or more years of engineering experience; OR MS in STEM with 7 years or more year of engineering experience; OR a PhD in STEM with 4 or more years of engineering experience
+ Active, in-scope Top Secret clearance
+ Ability to obtain and maintain Special Program Access (SAP/PAR) to start. This SAP must be obtained within a reasonable amount of time as determined by the company to meet its business needs.
+ Ability to obtain a Security+ certification within a reasonable amount of time.
+ Work in Palmdale restricted environment
**Preferred Qualifications for both levels** :
+ MS in Engineering.
+ Special Program Access
+ Experience performing integration and test of software/hardware components
+ Current Security+ Certification
**Salary Range:** $107,300 - $160,900
**Salary Range 2:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10154784CaliforniaCAPrincipal Hardware/Software Integration Engineer OR Sr. Principal Hardware/Software EngineerNoneEB52DFD2E6C64EF4A80FDC2027A8D503http://northropgrumman-veterans.jobs/EB52DFD2E6C64EF4A80FDC2027A8D50323Redondo BeachNorthrop GrummanUnited StatesUSA2024-03-29 06:15:18At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
This position description does not represent a current opening but may be used to identify candidates with skills and experience for positions within Northrop Grumman that frequently become available. Candidates who express an interest may be considered for future positions at Northrop Grumman.
**This position is currently contingent on program award and associated program award funding. Start dates are determined after funding confirmation.**
Northrop Grumman Aeronautics Systems is looking for a Principal or Senior Principal Engineer Guidance Navigation Controls to support the Vehicle Engineering organization in **Redondo Beach, CA.**
The selected candidate will support research and development of flight control algorithms for manned and unmanned air vehicles. This is a dynamic and fun organization involved in deploying forward-leaning avionics, guidance, navigation, and control systems for developmental concepts for air vehicles, weapons, and many more platforms.
Responsibilities:
+ Design, develop, implement, verify, and test algorithms, software, and simulation tools to perform guidance, navigation and control (GNC) of autonomous unmanned aircraft.
+ Develop control laws to meet air vehicle performance, flying qualities and stability margin requirements, both on ground and in flight.
+ Design inner and outer loop control laws, and associated logic and autonomy functions.
+ Develop air vehicle system linear and non-linear dynamic simulation models and integrate models into software and hardware-in-the-loop simulation environments.
+ Perform performance and flying qualities analysis.
+ Support non-linear simulation and hardware-in-the-loop lab testing.
+ Support flight test activities and analyze air vehicle flight test data.
This requisition may be filled at either a Principal Engineer or Sr. Principal Engineer level.
Basic Qualifications for Principal Engineer Guidance and Navigation Controls:
+ Bachelor's degree in a Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university with a minimum of 5 years of experience OR Master's degree in a STEM discipline from an accredited university with a minimum of 3 years of experience OR PhD in a STEM discipline from an accredited university with a minimum of 0 years of experience.
+ Excellent communication, analysis, and problem-solving skills.
+ **Must have a current active in-scope DoD Secret security clearance or higher, with the ability to obtain Special Program Access (SAP)**
+ Working knowledge of fixed-wing aircraft flight dynamics.
+ Experience using linear control principles and tools to design feedback control systems.
+ Experience analyzing non-linear simulations of aircraft closed-loop dynamics.
+ Working knowledge of autopilot functions.
+ Working knowledge of outer loop control law performance requirements.
+ Experience using MATLAB to create, process, analyze, and visually represent data.
+ Experience using Simulink to model dynamic systems.
Basic Qualifications for Sr Principal Engineer Guidance and Navigation Controls:
+ Bachelor's degree in a STEM discipline with a minimum of 9 years of experience OR Master's degree in a STEM discipline with 7 years of experience OR PhD in a STEM discipline with a minimum of 4 years of experience.
+ **Must have a current active in-scope DoD Secret security clearance or higher, with the ability to obtain Special Program Access (SAP)**
+ Working knowledge of fixed-wing aircraft flight dynamics.
+ Experience using linear control principles and tools to design feedback control systems.
+ Experience analyzing non-linear simulations of aircraft closed-loop dynamics.
+ Working knowledge of autopilot functions.
+ Working knowledge of outer loop control law performance requirements.
+ Experience using MATLAB to create, process, analyze, and visually represent data.
+ Experience using Simulink to model dynamic systems.
Preferred Qualifications:
+ Experience designing autopilot functions.
+ Excellent communication, analysis, and problem-solving skills.
+ Experience with logic, modes, and states for autonomous aircraft.
+ Familiar with autonomy algorithms (Sense and Avoid, Autonomous Taxi/ Take Off And Landing, etc.) for driving control laws.
+ Working knowledge of SAE-94910 performance requirements.
+ C/C++ programming skills
+ Python programming skills
**Salary Range:** $107,300 - $160,900
**Salary Range 2:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Redondo Beach, CAR10154833CaliforniaCAPrincipal Guidance Navigation Controls (GNC) Engineer / Sr. Principal GNC EngineerNoneC1C0A28BBB474A409CC0B727E7DA54E6http://northropgrumman-veterans.jobs/C1C0A28BBB474A409CC0B727E7DA54E623Moss PointNorthrop GrummanUnited StatesUSA2024-03-29 06:15:17At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Job Description
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today. Northrop Grumman Aerospace Systems is seeking a **Tool Crib Attendant** **3/4** to join our team of qualified, diverse individuals. This position will be located in **Moss Point, MS**
**This position can either be filled as a level 3 or a level 4.**
In this role, the selected candidate will:
+ Receive, store, and issue tools and supplies maintained in the Standard Tooling Services Tool Crib.
+ Validate the inventory supply of the crib using both visual inspections and the Computerized Maintenance Management System (CMMS) to maintain quantities of tools and supplies. Place purchases with suppliers as required to replenish supplies.
+ Report damaged, worn out, or missing equipment using computers to enter transactions, validate information and maintain database.
+ Work closely with Shop Supervisors, Manufacturing Process Engineers, Shop Personnel, and Manufacturing Analysts to periodically review the inventory supply needs and suggest changes to stock items as required.
+ Clean, oil, and perform service/minor repairs on production tools and equipment.
+ Identify, inventory, and distribute new/replacement tools to shop floor toolboxes in accordance with established procedure.
+ Dispose of surplus or obsolete equipment in accordance with established procedure.
+ Troubleshoot and perform minor repair to electronic toolboxes to ensure proper functionality.
+ Calibrations (Bi-monthly Calibration, Record tool interval into STS database and generate shippers. Receive and place tools back to the inventory log once they are received back from calibration) Coordinate with contract supplier ensuring sufficient quantities of consumables available in the Point of Use (POU) stations paying particular attention to build sequencing and high demand items.
+ Perform annual visual inspections on all commercial rigging.
+ Perform annual visual inspections on all design major assembly lifting slings.
+ Co-ordinate with manufacturing schedulers and front-line managers on the movement of design tooling being sent off site for periodic maintenance and inspection.
+ Schedule routine servicing of pneumatic/hydraulic power tools
**Level 3 Basic Qualifications:**
+ Minimum of a high school diploma and 4 years of additional education and/or related experience.
+ Must have the ability to obtain an Active DoD Secret Security Clearance.
+ Ability to ensure all measurement devices are within the proper calibration cycles and preformed routinely.
+ Aerospace industry facilities experience.
+ Ability to maintain Quality control of government assets while verifying the configuration of the tool is built IAW engineering guidelines.
**Level 4 Basic Qualifications:**
+ Minimum of a high school diploma and 6 years of additional education and/or related experience.
+ Must have the ability to obtain an Active DoD Secret Security Clearance.
+ Ability to ensure all measurement devices are within the proper calibration cycles and preformed routinely.
+ Aerospace industry facilities experience.
+ Ability to maintain Quality control of government assets while verifying the configuration of the tool is built IAW engineering guidelines.
**Preferred Qualifications:**
+ Current DoD Secret Security clearance that has been granted / renewed within the past 5 years.
+ Experience using CribMaster or a similar CMMS tool.
+ Experience with common POU consumable items for product/brand valuation.
+ Experience with pneumatic and standard hand tool repair.
+ Experience working in a mission critical facility / maintenance environment.
+ Experience using a computer to perform basic job functions.
+ Proficient in Microsoft Office (primarily Microsoft Excel).
**Salary Range:** $45,800 - $76,300
**Salary Range 2:** $54,400 - $90,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Moss Point, MSR10154680MississippiMSTool Crib Attendant 3/4None1E4EF11A5F4C41D08CB1814BA0657D55http://northropgrumman-veterans.jobs/1E4EF11A5F4C41D08CB1814BA0657D5523Rolling MeadowsNorthrop GrummanUnited StatesUSA2024-03-29 06:15:16At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean to stealth bombers to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity, and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history. At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support you in expanding your network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
Northrop Grumman is seeking an experienced Sr. Principal International Trade Compliance Analyst for its location in **Rolling Meadows, IL** .
**What You'll Get to Do:**
The qualified applicant will become part of Northrop Grumman's International Trade Compliance organization, supporting Rolling Meadows and Amherst Systems Import-Export team, and working to ensure compliance with import/export laws and internal processes.
The role and responsibilities of the Sr. Principal International Trade Compliance Analyst include, but are not limited to, the following:
+ Maintains compliance with federal and foreign regulations governing the shipment, receipt, and documentation of imported/exported products.
+ Assists on trade compliance counsel assessments and prepares and/or reviews documentation as needed with management.
+ Maintains post-audit assessments (PO/Sales Order to Payment) as it relates to import and export activity, to ensure implementation and effectiveness of internal compliance controls.
+ Researches and resolves customer or supplier issues. Interfaces with internal and external worldwide import/export operations and organizations.
+ Works with internal programs, outside vendors, and suppliers to improve compliance efficiencies, and prepare correct documentation by the internal requirements, terms & conditions, and government regulations while reducing potential inbound and outbound transportation delays.
+ Assist in the development and implementation of procedures and instructions to support compliance with U.S. Government import/export operations regulations and Company policies.
+ Monitor and provide reports and metrics associated with import/export transactions, activities, and compliance.
+ Develop and manage import training materials.
+ Provide training on import regulations and related company policy and procedures.
+ Assist other Import/Export Operations personnel in day-to-day activities.
+ Support the law department in investigating and resolving compliance problems, questions, or complaints.
+ Participate in sector and corporate-wide special projects and working groups, including corporate-led assessments and audits.
+ Assist with the implementation of import/export automated solutions, which may include conducting testing and providing training on the processes and systems.
+ Provide mentoring to other import-export employees.
+ Review complex transactions and determine the applicability of Customs regulatory requirements.
+ Review of import/export clauses in Statements of Work and Non-Disclosure Agreements and provide recommendations regarding potential impact to business activity.
+ Ability to work independently on complex matters.
+ Maintains applicable trade compliance records in accordance with regulatory requirements.
+ Assessment and application of import and export regulations; HTS classification, valuation, free trade agreements, and participating government agency requirements.
**Qualifications:**
**Basic Qualifications for a Sr. Principal Int'l Trade Compliance Analyst 4:**
+ Bachelor's degree with a minimum of 10 years experience in import, transportation, export/import licensing, and/ or compliance in a relevant field (e.g. Trade Compliance, Contracts, Legal, Program Management, etc.), or
+ Master's degree with a minimum of 8 years relevant experience in import, transportation, export/import licensing, and/ or compliance in relevant fields (e.g. Trade Compliance, Contracts, Legal, Program Management, etc.).
+ U.S. Customs Broker License
+ Ability to obtain and maintain a US Department of Defense Secret Clearance.
**Preferred Qualifications:**
+ Proficient in the use of the OCR EASE Software and SAP.
+ Knowledge of the International Traffic in Arms Regulations (ITAR), Export Administration Regulations (EAR), and other US Government Regulations.
+ Demonstrated highly collaborative work style, success in resolving difficult situations, and effective communication with all levels of stakeholders.
+ Ability to exercise leadership, self-initiative, and sound judgment.
+ Proficient in the use of Microsoft Office products and possess the ability to work successfully in cross-functional teams.
MANUMS
**Salary Range:** $104,900 - $157,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Rolling Meadows, ILR10154836IllinoisILSr. Principal Int'l Trade Compliance AnalystNoneDB86E508F3EF4129A4223513C2CDE671http://northropgrumman-veterans.jobs/DB86E508F3EF4129A4223513C2CDE67123SunnyvaleNorthrop GrummanUnited StatesUSA2024-03-29 06:15:15At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Missions Systems Sector is seeking an EHS Engineer to join our team of qualified, diverse individuals in Sunnyvale, CA. This position will be responsible for providing EHS support for our Sunnyvale Plant and may
support field operations in other states as well.
Working in Northrop Grumman means making a direct contribution to mission projects that keep our homeland safe, expanding the boundaries of possibility, and reshaping the world we live in. For us, it's about more than just performing.
It means realizing the values that define us: responsibility, trust, integrity and protecting freedom worldwide. These values inspire and unite our people- who make everything we do possible.
Key roles and responsibilities include:
+ Reviews and interprets existing and proposed environmental, health, and safety (EHS) regulations to determine applicability and impact for sites.
+ Assists with implementation of programs to comply with EHS regulations.
+ Partners with business leaders to continuously improve the culture, awareness and accountability in support of EHS programs.
+ Assists with the development, implementation, and maintenance of EH&S programs to ensure compliance, injury prevention, and risk mitigation.
+ Completes audits, IH sampling, ergonomic assessments, and assists in pollution prevention activities.
+ Interfaces with regulatory representatives, senior management, the site EH&S Committee.
+ Assists with the implementation of EHS management systems at the site level.
+ Additional roles and responsibilities may include:
+ Serves as liaison with regulatory agencies.
+ Provides timely and accurate submission of necessary reports to the company and regulatory agencies.
+ Writes and updates EHS plans and procedures.
+ Applies for new permits and renewals.
+ Conducts compliance inspections and identifies actions necessary to meet regulatory requirements.
+ Coordinates EHS training for employees and conducts instructor-led EHS training.
**The position can be filled as an EHS Engineer (Level 2) or an Principle EHS Engineer (Level 3) depending on experience.**
**Basic Qualifications for Level 2:**
+ Bachelor of Science (BS) degree in industrial hygiene, engineering, environmental science, or a related field and a minimum of 2 years of experience in an EHS position.
+ Experience with at least one of the two: 1) managing various EHS compliance programs (such as hazardous waste, storm water, wastewater, air, occupational safety, etc.) based on the review and interpretation of EHS regulations; and/or 2) experience in completing industrial hygiene assessments and sampling.
+ Excellent communication and collaboration skills with all levels of an organization are a must.
+ Ability to solve complex problems by: actively seeking information and new ideas from a variety of sources; consistently looking for novel ways to solve problems; mastering unfamiliar information, tasks and situations quickly and effectively.
+ Ability to obtain and maintain a Department of Defense (DoD) Secret clearance (U.S. Citizenship required).
**Basic Qualifications for Level 3:**
+ Bachelor of Science (BS) degree in industrial hygiene, engineering, environmental science, or a related field and a minimum of 5 years of experience in an EHS position (or Master of Science (MS) degree in industrial hygiene, engineering, environmental science, or a related field and a minimum of 3 years of experience in an EHS position).
+ Experience with managing various EHS compliance programs (such as hazardous waste, storm water, wastewater, air, occupational safety, etc.) based on the review and interpretation of EHS regulations; experience in completing industrial hygiene assessments and sampling
+ Excellent communication and collaboration skills with all levels of an organization are a must.
+ Ability to solve complex problems by: actively seeking information and new ideas from a variety of sources; consistently looking for novel ways to solve problems; mastering unfamiliar information, tasks and situations quickly and effectively
+ A bility to obtain and maintain a Department of Defense (DoD) Secret clearance (U.S. Citizenship required).
**Preferred Qualifications for Level 2 or 3:**
+ Master of Science (MS) degree in an environmental, health, and safety program of study, or currently enrolled in an M.S. degree program.
+ Certifications in environmental or health & safety related programs.
+ Experience with SAP and Microsoft SharePoint programs.
+ Computer based skills specifically with Microsoft Word, Excel, and Power Point programs.
+ Oversight of third party consultants/contractors.
**Salary Range:** $79,300 - $118,900
**Salary Range 2:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Sunnyvale, CAR10151109CaliforniaCAEnvironmental Health & Safety Professional (EHS Engineer/Principal EHS Engineer)None4C0CBC55C9BD4241B0A5F50CC515E48Ehttp://northropgrumman-veterans.jobs/4C0CBC55C9BD4241B0A5F50CC515E48E23BaltimoreNorthrop GrummanUnited StatesUSA2024-03-29 06:15:15At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a **Sensors and Systems Technicia** n to join its Manufacturing organization. This position is located in **Linthicum, Maryland.**
The Sensors & Systems Tech will perform a variety of simple to complex tasks involving testing, operating, trouble-shooting, calibrating, constructing, repairing and assembly over a broad spectrum of technologies, utilizing manual and automatic equipment. Utilize and create repair techniques, assembly techniques, and procedures. Other tasks to be performed include: collecting, recording, analyzing and evaluating data and recommending changes in processing operations and equipment as appropriate.
**This is an IUE Union represented position on 2nd Shift**
**Basic Qualifications:**
+ High School Diploma/GED.
+ Graduate of a two year, full time electronic technology school OR six months military electronics school plus two years working in the maintenance and repair of electro-mechanical equipment.
**Experience Requirement:**
+ Must have extensive knowledge of electronics principles.
+ A high degree of skill in fabrication and test of complex components, assemblies, devices, circuits and systems.
+ Be capable of readily adapting to changing assignments and requirements.
+ Be capable of meeting 09 solder certification and successfully passing pre-employment skills screening.
+ Must be able to obtain and maintain a Secret clearance.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10149828MarylandMDU107 Sensors & Systems Technician (Electronics Technician) - 2nd ShiftNone50BFE2ABCE834ECF85C4AD5139C03129http://northropgrumman-veterans.jobs/50BFE2ABCE834ECF85C4AD5139C0312923FairfaxNorthrop GrummanUnited StatesUSA2024-03-29 06:15:15At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Payload and Ground Systems Division is seeking a **Senior Principal Industrial Security Analyst** to join our team of talented and diverse individuals at our **Fairfax, VA** location.
The selected candidate will require experience in program security, with knowledge of implementing a multi-disciplined security program (access control, personnel security, physical security, OPSEC etc.).
The responsibilities include but are not limited to the following:
+ Supporting a fast-paced, high-profile OU & BU.
+ Creating and administering security programs and procedures for classified or proprietary materials, documents, and equipment.
+ Working collaboratively in a team environment with executive and program leadership personnel, security professionals, and other functional personnel, including across OUs, BUs, and within the Division.
+ Obtaining rulings, interpretations, and acceptable deviations for compliance with regulations from government agencies.
+ Preparing manuals outlining regulations, and establishes procedures for handling, storing, and keeping records, and for granting personnel and visitor's access to restricted records and materials.
+ Investigating security violations and prepares reports specifying preventive action to be taken.
+ Demonstrating the skill and ability to perform complex tasks independently without appreciable direction.
+ Exercising considerable latitude in determining objectives and approaches to assignment.
+ Able to communicate effectively and clearly present technical approaches and findings.
+ Developing solutions to complex problems which require the regular use of ingenuity and innovation.
+ Ensuring solutions are consistent with organization objectives.
**Basic Qualifications:**
+ Ten years of related security experience with a Bachelors degree or eight years of related security experience with a Masters degree. An addition four years of related experience may be accepted in lieu of degree.
+ Candidate must be a US Citizen and have a U.S. Government **Top Secret** clearance with a Single Scope Background Investigation (SSBI) or T5 investigation current within 6 years.
+ Ability to obtain and maintain SCI and SAP accesses.
+ Must be knowledgeable and have experience implementing Intelligence Community Directives (ICD), DODM 5205.07 volumes 1-4, NISPOM, and other DoD/IC security policies.
**Preferred Qualifications:**
+ Strong oral and verbal skills.
+ Demonstrated organizational skills.
+ Working knowledge of Northrop Grumman and Government systems (ESIS, DISS, Scattered Castles).
+ Full Scope Polygraph.
+ Ability to prioritize and multi-task with minimal supervision.
**Salary Range:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fairfax, VAR10154573VirginiaVASenior Principal Industrial Security AnalystNone841E28DA93D2454881294790D75AF5D2http://northropgrumman-veterans.jobs/841E28DA93D2454881294790D75AF5D223LinthicumNorthrop GrummanUnited StatesUSA2024-03-29 06:15:15At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Microelectronics Design and Applications (MDA) Physicists apply fundamental theoretical physics and chemistry-based scientific theory to the field of engineering to provide solutions for difficult technical challenges. They demonstrate comprehensive understanding of the role of the design of experiments, and the requirements for creating statistically relevant results.
MDA physicists possess a thorough understanding of fundamentals of device physics and material science, including topics such as superconducting and low--temperature physical phenomena; semiconductor physics and devices; quantum mechanics. They also possess a basic understanding of fundamentals and best practices in digital design, RF engineering, electrical engineering, software engineering. Job responsibilities include modeling and simulation of novel devices; developing and leading experiments; cryogenic test and measurement of advanced circuits; developing procedures, methods, and tools for test; providing direction to test engineers and technicians; analyzing experimental data and provide recommendations and feedback; and publishing novel results. MDA Physicists may also lead multi-disciplinary teams in the execution of program tasking, and then report results to internal and external customers.
We are seeking an individual with hands-on experience in an experimental physics laboratory with a robust coding background. The ideal candidate has experience in Python and MATLAB, developed and/or contributed to a version-controlled codebase in a research environment, and has experience with standard lab instrumentation such as RF/DC sources and arbitrary waveform generators. Strong candidates are willing to learn about the underlying codebase to build tools and optimize current test measurement procedures. This candidate is expected to aid in the development algorithms, architecture, and analytical tools that contribute to the test lab.
**This position requires on site work located at our Advanced Technology Lab (ATL) in Linthicum, Maryland OR our Annapolis Junction, Maryland location.**
**Your responsibilities:**
+ You will be prototyping and developing test automation procedures for novel and state-of-the-art devices under test to collect relevant metrics.
+ You will be responsible for developing new capabilities and packages for improved experimental test stand performance, troubleshooting test lab bugs, and addressing any other software related issues that arise during test stand operations.
+ You will be an interface between the test measurement and software development teams to understand software requirements.
+ You will be responsible for maintaining the data acquisition codebase through building unit tests and peer reviewing pull requests.
+ You will be working with a team of highly motivated physicists and software engineers on critical software packages utilized by the measurement teams.
**What you need to be successful in the role:**
+ Interest in interfacing with the test teams to optimize test automation.
+ Motivation to learn new technologies and technical skills.
+ Ability to collaborate in a small group setting (4-7 people) as well as work independently.
**This position can be filled at the Principal Test Development Physicist level OR Sr. Principal Test Development Physicist level. Qualifications for both are listed below:**
**Basic Qualifications for Principal Test Development Physicist:**
+ PhD in experimental physics, electrical engineering, or a related field (or will complete by December 2024); Master's Degree and 3 years of relevant professional experience; bachelor's degree with 5 plus years of relevant professional experience.
+ Experience with data acquisition and data pipeline codebases and electronics.
+ Experience in developing and contributing to a larger scale software projects.
+ Proficiency writing code in MATLAB and Python in a version-controlled environment.
+ US Citizenship and the ability to obtain and maintain a Top Secret (TS/SCI) with polygraph clearance per business requirements. US Citizenship is a prerequisite.
**Basic Qualifications for Sr. Principal Test Development Physicist:**
+ PhD in experimental physics, electrical engineering, or a related field and 4 plus years' of relevant professional experience; Master's Degree and 7 years of relevant professional experience; Bachelor's Degree and 9 plus years' of relevant professional experience.
+ Experience with data acquisition and data pipeline codebases and electronics.
+ Experience in developing and contributing to larger scale software projects.
+ Proficiency writing code in MATLAB and Python in a version-controlled environment.
+ US Citizenship and the ability to obtain and maintain a Top Secret with polygraph (TS/SCI) clearance per business requirements. US Citizenship is a prerequisite.
**Preferred Qualifications for both Principal Test Development Physicist/Sr. Principal Test Development Physicist:**
+ PhD in experimental physics, electrical engineering, or related field.
+ Knowledge of cryogenics physics, quantum information, and familiarity with COTS and open-source packages such as Apache NiFi, QCoDeS, and MongoDB.
+ Experience writing in a version-controlled, OOP-based codebase in Python or MATLAB for a large team with multiple contributors/users.
+ Active TS/SCI with polygraph clearance.
**Salary Range:** $123,900 - $185,900
**Salary Range 2:** $153,600 - $230,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10154687MarylandMDPrincipal Test Development Physicist/Sr. Principal Test Development PhysicistNoneF3C66CBFE4D443B88CD49B88B593C4A9http://northropgrumman-veterans.jobs/F3C66CBFE4D443B88CD49B88B593C4A923Vandenberg AFBNorthrop GrummanUnited StatesUSA2024-03-29 06:15:14At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
Northrop Grumman Space Systems is seeking a **Security Coordinator 4** to join our team of talented and diverse individuals supporting the Sentinel (GBSD) program at our **Vandenberg and Santa Maria, CA l** ocations. This position will support the SAP industrial security PERSEC (personnel security) team. This is an onsite position and remote work is not available.
The responsibilities may include but are not limited to the following:
+ Supporting a fast-paced high-profile environment.
+ Create, maintain and leverage working relationships with internal and external customers.
+ Meticulous record-keeping and responsible for accurate data input into multiple databases/spreadsheets.
+ Produces employee and guest badges, identification cards, and security reader cards.
+ Processes security information and data for employment records, security clearances, and property control.
+ Performs clearance verification, escorting, and access control activities in and around secure facilities, and must be willing and able to work variable shifts if necessary.
+ Manage secure conference room calendars and offer security support for meetings, order supplies, and complete all other administrative tasks as assigned.
+ General Personnel Security duties: Personnel Security Questionnaires, Program Access Requests, etc.
+ Database entry and maintenance pertaining to personnel folders, classified safes, and other NISPOM compliance requirements.
+ Working knowledge and understanding of SAP PAR process is desired.
+ Demonstrate excellent communicative skills and the ability to follow process and procedures.
**Basic Qualifications:**
+ High School diploma or equivalent and 6 years additional education and/or related experience.
+ Candidate must be a US Citizen, have an U.S. Government **Secret** clearance with a Single Scope Background Investigation (SSBI) current within 6 years.
+ Excellent communications skills.
+ Candidate must be organized and efficient at time management.
+ Candidate must have experience with computer and desktop.
+ Microsoft office software products (Word, Excel etc...).
+ Candidate must have good customer service skills.
**Preferred Qualifications** :
+ Experience with 32 CFR Part 117 NISPOM rule and DoDM 5205.07 V1-4.
+ Self-starter with minimal supervision.
+ Working knowledge of the JADE database.
+ Ability to display tact, discretion, and diplomacy in a variety of program areas.
+ Ability to excel in a fast-paced deadline driven environment.
+ Excellent communication skills, speaking, writing skills and organizational skills enabling effective communications.
+ Completed security training (ex. CDSE) is a plus.
+ Proficient with ESIS/SIMS.
+ Basic knowledge of access controls and security systems.
**Salary Range:** $58,400 - $97,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Vandenberg Afb, CAR10150680CaliforniaCASecurity Coordinator 4 (12148)None162FBDB0700B49DE82E3924226131384http://northropgrumman-veterans.jobs/162FBDB0700B49DE82E392422613138423MelbourneNorthrop GrummanUnited StatesUSA2024-03-28 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Classified Solutions is seeking a well-qualified PC Network Support Technician for a classified government program at its Melbourne, FL location.
The selected candidate will be required to work on-site, full-time at our Melbourne, FL campus. The individual will perform the following duties on a day-to-day basis in support of the program:
+ Provides technical support for computers and associated networks.
+ Installs, troubleshoots, services, and repairs personal computers, related PC software, telephones, cables, and connectors.
+ Connects personal computers and terminals to existing data networks.
+ Performs basic PC setups.
+ Instructs and assists users in the use of personal computers and
+ information, network, and communications needs of users, and makes recommendations regarding software and hardware purchases.
+ Maintains trouble logs.
+ Completes paperwork and other tasks needed to satisfy Security
+ follows all Security regulations, guidelines, and processes regarding the installation, maintenance, and retirement of PCs and PC-related hardware and software.
+ Works with Security to remediate classified or unclassified data spillage incidents.
+ Coordinates with users, service desk, server administrators, network engineers, and customer and IT management.
+ Reviews the trouble ticket queue to identify issues that need to be addressed.
+ Works through the ticket queue without intervening management direction (self-motivated).
+ Proficiency in Microsoft products such as Windows desktop OS, Office Product Suite
+ Resolves tickets within established SLAs.
+ Raises issues that require management attention or involvement.
+ Establishes and maintains high levels of customer satisfaction according to defined objectives while adhering to established policies.
+ Works with system administrators and other staff to resolve support issues, escalating where appropriate.
+ Participates in new installations, testing and special projects as needed.
+ May spend portions of the day away from the desk when supporting users and moving equipment.
+ Exhibits good communication skills, an energetic approach, and patience with customers.
+ Possess a technical understanding of Information Technology Infrastructure Library (ITIL) and Information Technology Service Management (ITSM) Operations, including Service Desk, Desktop, Network, Telecommunications, Data Center, Application Support, Service Level Management, and other areas of IT Operations.
+ Resolves technical problems and answers queries by telephone in support of internal and/or outside customer computer hardware, software, network, and telecommunications systems.
+ Diagnoses, identifies, isolates and analyzes problems utilizing historical database records.
+ Route calls to product line specialists. Maintains and updates records and tracking databases.
+ Alerts management to recurring problems and patterns of problems.
Note: Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
**Basic Qualifications:**
+ High school diploma or equivalent and 2 years of experience OR Associate's degree and 0 years of experience
+ Active DoD Secret clearance with ability to obtain and maintain a DoD Top Secret clearance as a condition of continued employment
+ Ability to be cleared to and maintain special access programs (SAP) prior to starting as a condition of employment.
+ DOD 8570 IAT level II baseline certification (example: Security+ CE)
+ Experience in Microsoft Windows Desktop Operating Systems, Microsoft Office Product Suites, and remote deployment methods
**Preferred Qualifications:**
+ Associate's, Bachelors of Science, or other technical based degree
+ Active Top Secret clearance
+ Microsoft Certifications (MCP, MCSE or MCSA) a plus
+ Proficiency in remote administration and troubleshooting of desktop PCs, SCCM, PowerShell
+ Experience with thin clients
+ Experience in Active Directory configuring user and computer accounts
+ Cross-platform experience (i.e. two or more: Windows, Linux, Solaris, OSX, UNIX, etc.)
+ Experience supporting VMware VDI (Virtual Desktop Infrastructure)
+ Knowledge of Windows operating systems, Active Directory administration, and LAN/WAN networking
ESCSO
**Salary Range:** $42,000 - $70,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10154596FloridaFLPC Network Support Technician 2 - SecretNone0F71D4FFBCAB4B81BB5E50A18623F4E1http://northropgrumman-veterans.jobs/0F71D4FFBCAB4B81BB5E50A18623F4E123Edinburgh ParksNorthrop GrummanAustraliaAUS2024-03-28 06:15:46We're building a reputation as the place to be in Defence. With strong local management, sound investment and a fresh approach, we're on an exciting trajectory and building unique capability. Leveraging the kind of leading edge US capabilities and technology most can only imagine, our close-knit 800 strong team is busy delivering World-leading solutions to safeguard Australia's future. And, together, we're growing a business where everyone contributes and everyone matters.
Northrop Grumman's **MQ-4C Triton** Unmanned Aircraft System (UAS) provides real-time intelligence, surveillance and reconnaissance (ISR) over vast ocean and coastal regions. The aircraft can fly for more than 24 hours at a time, at an altitude above 55,000 feet and an operational range of 8,200 nautical miles. Australia is a cooperative program partner in the Triton program which consists of the US Navy, Royal Australian Air Force and Northrop Grumman.
**Your Role**
As our **Project Support Officer** for the Triton Program, each day is an opportunity to contribute significantly to our project's success. You'll be responsible for organising and maintaining crucial project documentation, making it clear and accessible for all team members. Handling meeting coordination, you'll set the stage for effective discussions and strategic planning. Your expertise in developing project plans and schedules will be essential in keeping us on course and aligned with the program's strategic objectives.
Your role goes beyond routine tasks. You'll proactively identify and manage project risks and issues, ensuring we're always prepared for the unforeseen. Clear and effective communication is key in your role - you're the vital link between our team and stakeholders, fostering understanding and collaboration. Managing resources, overseeing budgets, and ensuring compliance are also part of your responsibilities. In this role, you'll do more than follow processes; you'll be instrumental in propelling our project towards its goals, making a direct impact under the leadership of the Deputy Program Manager.
**As this role is supporting the program across multiple sites, we are open to considering the Project Support Officer being based in Tindal, Northern Territory or Edinburgh, South Australia.**
**About You**
We're seeking someone with project support experience, preferably in the defence sector, who is proficient in Microsoft Office and possesses strong communication and organisational skills. A diploma or equivalent qualification in project management would be advantageous, but not essential. What's most important is your capability to excel in a fast-paced environment, applying both diligence and creativity in your work. You won't just be carrying out tasks; you'll be a central figure in a team dedicated to achieving excellence in every endeavour. Your ability to manage complex project details and effectively collaborate with a diverse team is crucial to our shared success.
Furthermore, your capability to obtain and maintain an AGSVA security clearance is essential. This role is an opportunity for someone who is not just looking for a job but a chance to be a key player in a vital, fast-paced project.
**What we Offer:**
Whatever your role, life stage or background, you can shape your career here in your way with highly challenging work, great colleagues and career development, plus a range of flexible benefits and working patterns.
+ Professional Development - further education, leadership development, professional industry memberships and unlimited access to a range of online training
+ Options for flexible & hybrid work including 9 day fortnight
+ Purchased annual leave
+ Salary packaging including novated car leases
+ Generous paid parental leave
+ Volunteer Leave
+ Health & Wellbeing program
+ Employee Assistance Program
**Everyone Matters**
Doing the Right Thing and Sharing Success are two values underpinning how we behave at Northrop Grumman. Here, everyone matters and we proudly encourage individuals from all backgrounds and all abilities to apply to join our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.
As a Defence security clearance is required for this role, you must be an Australian Citizen. International Traffic in Arms Regulations (ITAR) are applicable, as such your nationality may be a factor in determining your suitability for this role. You will also need to satisfy pre-employment drug & alcohol testing, police checks and employment screening verification.
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.Edinburgh Parks, AUSR10153838Project Support OfficerNone15D7A9E783824BEC8E00FE8C96CED0FAhttp://northropgrumman-veterans.jobs/15D7A9E783824BEC8E00FE8C96CED0FA23CorinneNorthrop GrummanUnited StatesUSA2024-03-28 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is currently seeking an Associate **Process Engineer** to support our Process Engineering team at our **Promontory, Utah** Plant.
+ Performing cause and corrective action activities to permanently eliminate defects using root cause analysis tools
+ Working with concurrent engineering team to create Build-to-Packages (i.e. engineering and planning documents)
+ Authoring, updating and reviewing shop floor planning
+ Preparing and presenting technical presentations to VSET, leadership, and to the customers for review and approval
+ Performing table top reviews
+ Using SPC tools to ensure process control
+ Developing and incorporating process improvements
+ Troubleshooting production/tooling/facility issues and implementing improvements and solutions
+ Supporting design, checkout, qualification and implementation of new or modified tooling or equipment
+ Troubleshooting production/tooling/facility issues and implementing improvements and solutions
+ Supporting design, checkout, qualification, and implementation of new or modified tooling or equipment
**Basic Qualifications:**
+ Self-Starter that takes initiative to complete tasks and assignments with little supervision
+ Good problem-solving skills and strong oral and written communication skills
+ Good organization and multi-tasking skills
+ Experience in Lean Manufacturing Techniques
+ Basic Computer skills (Word, Excel, PowerPoint)
+ Interpreting blue prints and specifications
+ Experience writing shop planning (preferred)
+ Experience working with energetic materials and processes. (preferred)
+ Strong inter-personal and Customer Service Skills
+ Process Controls (SPC)
**Education/Certifications**
+ B.S. degree in Mechanical, Chemical, or Aerospace Engineering or related engineering degree
**Position may be filled at a higher level requiring:**
+ B.S. degree in Mechanical, Chemical, or Aerospace Engineering or related engineering degree with 2 years of experience with Bachelor's degree or Masters degree
**Salary Range:** $62,200 - $93,400
**Salary Range 2:** $75,300 - $112,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Corinne, UTR10148648UtahUTAssociate Process EngineerNone329E5EB329764559A90D3B3301AE3295http://northropgrumman-veterans.jobs/329E5EB329764559A90D3B3301AE329523ClearfieldNorthrop GrummanUnited StatesUSA2024-03-28 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman is currently seeking experienced Composite Technicians for our R&D Engineering Team in Clearfield & Magna (HTS), UT locations with emphasis on lay-up and assembly of composite parts, complex, high temperature fibers and resin along with core assembly structures to support current development and production efforts.**
**Job Duties:**
+ Participate in the safe execution of tasks required in support of research and development and production for commercial, military and classified programs as the demand requires.
+ Maintain inventory (tooling, equipment, NEI material, direct materials)
+ Use of chemicals with proper PPE
+ Material preparation (storage, thawing, cutting, inventory, traceability, etc.)
+ TC weld & verify / Vacuum port assembly / Vacuum leak check.
+ Solid lamination / Bagging / Oven and A/C Cure
+ Sandwich panel construct / Bag / Cure (perimeter dams or tapered core)
+ Film adhesive and paste adhesive bonding.
+ Perform SMI fundamentals.
+ Trimming composites
+ Execute work orders / Project assignments.
+ Track individual metrics, maintain notebook, capture lessons learned and undocumented process details.
+ Build pathfinder samples / tests / feasibility articles / prototype development with Engineering & Senior Tech oversight.
+ Participate in process readiness reviews for new programs.
+ Take part in corrective actions and process improvements.
+ Take part in cost improvement initiatives.
**Basic Requirements:**
+ Able to obtain and maintain a secret security clearance.
+ Minimum of 8 years of composites, aerospace, or manufacturing experience
+ Familiar with composite process technologies (i.e. bagging, lamination, bonding, etc.)
+ Able to communicate concepts, ideas and procedural steps to others in a clear, concise and effective manner.
+ Proficient in Microsoft Suite (Word, Excel, PowerPoint)
+ Able to operate power equipment (i.e. machines, cranes, forklifts)
+ Able to follow procedures and make buys.
+ Must have Hands-on / Mechanical aptitude.
+ Innate problem-solving skills.
+ Willing to work unconventional shifts occasionally to complete assignments.
**Education/Certifications:**
+ High School Diploma or equivalent GED required (education will be verified)
**Preferred Skills and Qualifications:**
+ Able to read and interpret Engineering models / drawings.
+ Familiar with composite material & manufacturing technology of hand lay-up and cure, machining of composite components and assemblies (including bonded and fastened assembly methods)
**Competencies for Success:**
+ Positive individual who is willing to expand current skill set, through schooling and training.
+ Strong interpersonal communication skills with ability to work with others at all levels of the organization.
+ Able to deal effectively with stress and conflict.
+ Strong attention to detail and accuracy
+ Ability to multitask and prioritize.
+ Ability to work in a dynamic, fast paced, diverse environment.
+ Desire to work in a "team first" environment.
**Salary Range:** $54,400 - $90,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10154557UtahUTR&D Engineering TechnicianNone3F115E4D47EF4B05908B6C475903E752http://northropgrumman-veterans.jobs/3F115E4D47EF4B05908B6C475903E75223San DiegoNorthrop GrummanUnited StatesUSA2024-03-28 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is seeking a **Program Planning Scheduling Analyst (Level 2)** or **Principal Program Planning Scheduling Analyst (Level 3)** to join our team of qualified, diverse individuals. This position is located in **San Diego, CA.** This position will require being on-site every day.
**Essential Functions:**
+ Prepares, develops and coordinates the integrated master plan and integrated master schedule to meet all program objectives
+ Ensures major projects and program schedules and plans are horizontally and vertically integrated across company functional groups including finance, engineering, material, manufacturing, and quality
+ Tracks plans and schedules, performs risk analysis, identifies and resolves critical path and network logic conflicts
+ Utilizes Gantt, PERT, milestone charts, earned value management and other project management techniques to gauge progress and identify performance variances to facilitate focus and intervention on critical areas
This requisition may be filled at a higher grade based on qualifications listed below.
**Basic Qualifications: Program Planning Scheduling Analyst (Level 2):**
+ Bachelor's degree with 2 years of Program/Project Management, Earned Value Management, Business, Cost, and/or Finance experience -OR- a Master's degree OR- 7 years of stated experience in lieu of degree
+ Proficiency in Microsoft Office Suite
+ **Active DOD Secret Clearance,** with investigation completed within the last 5 years, as well as ability to obtain a Program Special access within a reasonable period of time, as determined by the company to meet its business needs. (Special Program access will be required to start)
+ Requires the ability to work on-site in San Diego, CA
**Basic Qualifications: Principal Program Planning Scheduling Analyst (Level 3):**
+ Bachelor's degree with 5 years of Program/Project Management, Earned Value Management, Business, Cost, and/or Finance experience -OR- a Master's degree with 3 years of stated experience -OR- 9 years of stated experience in lieu of degree
+ Proficiency in Microsoft Office Suite
+ Schedule Management and MS Project Experience
+ **Active DOD Secret Clearance** , with investigation completed within the last 5 years, as well as ability to obtain a Program Special access within a reasonable period of time, as determined by the company to meet its business needs. (Special Program access will be required to start)
+ Requires the ability to work on-site in San Diego, CA
**Preferred Qualifications:**
+ Aerospace/Defense Industry Experience
+ Excel Macro/VBA Skills
+ Experience working in Microsoft Project Server Environment
+ Experience in Deltek Cobra
+ Experience in Deltek Acumen Risk
+ Knowledge of DCMA health metrics
+ Special Program Access
We offer flexible work arrangements, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees!
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 scheduleand a great 401K matching program.
**Salary Range:** $79,300 - $118,900
**Salary Range 2:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10154660CaliforniaCAProgram Planning Scheduling Analyst or Principal Program Planning Scheduling AnalystNone46A016B36A0B48D08FF305F82414E833http://northropgrumman-veterans.jobs/46A016B36A0B48D08FF305F82414E83323RoyNorthrop GrummanUnited StatesUSA2024-03-28 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
This Program Control Analyst position will, under general direction, interface with the program managers, functional management, and cost account managers (CAMs) to provide financial and administrative support and analysis to meet program requirements. Candidate will have experience with Earned Value and performance measurement baseline concepts and be able to apply them to multiple contracts for control accounts within an engineering Integrated Product Team (IPT). Earned Value Management (EVM) tasks include establishment of Work Breakdown Structure for execution of budgeted cost of work scheduled, assessment and maintenance of objective performance criteria, maintenance and justifications of estimates to complete, and support to internal and external reporting requirements for variance analysis. As well as, budget baseline, funding analysis, cost risk analysis/assessment and visibility reports, preparation of government cost performance reports and preparation and/or review of performance measurement variance analysis as well as development and analysis of estimates to complete.
This is a fast-paced high energy environment that requires a self-motivated employee to join the team. The ideal candidate will possess the following traits and abilities: ability to use financial systems, with understanding of EVMS principles and hands on cost performance reporting/analysis skills; develop and implement solutions of moderate scope and complexity; analyze variances/trends and develop new methods and process techniques; work under very general supervision while completing numerous assignments per schedule and elevating potential issues to ensure proper management focus; exert some influence on peers and internal customers; good interpersonal skills while representing the group on special projects outside of own group.
This Program Control Analyst duties could include; support the development of budget baselines and maintain cost/schedule integration; support preparation of budgets, schedules, and estimate to completion (ETC)/estimate at completion (EAC); perform and/or assist in financial performance analyses and visibility reporting; assist in the preparation and submittal of monthly CDRLs such as integrated program management report (IPMR); support variance analysis reports; facilitate and support program monthly rhythm process, incorporate baseline changes into EVM system while utilizing Cobra, SAP, and MS Excel/PowerPoint.
This position may be filled as either a Principal Program Cost & Schedule Control Analyst 3 or a Senior Principal Program Cost & Schedule Control Analyst 4. This position can be a remote position.
You'll bring these qualifications:
Level 3:
Bachelor's degree with 6 years of experience in Finance, Cost Management, Pricing & Estimating, Business Management, Global Supply Chain, Accounting, and/or Budgeting experience, OR a master's degree with stated experience - 4 additional years of relevant experience, in lieu of formal degree - 4 additional years of relevant experience.
Level 4:
Bachelor's degree in Business Administration, Finance, Accounting or related and 10 years of related experience in financial analysis or master's degree with 8 years related experience in financial analysis, in lieu of formal degree - 4 additional years of relevant experience. Must have a demonstrated working knowledge of EVMS. Proficient in Microsoft Office (Excel and PowerPoint).
Preferred qualifications:
Active DoD Secret Clearance, awarded/reviewed within the last 5 years.
Ability to obtain and maintain Special Program Access.
**Salary Range:** $76,600 - $115,000
**Salary Range 2:** $95,000 - $142,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10151156UtahUTPrincipal/Senior Principal Program Cost and Schedule Control AnalystNone4F51D64C715643FDAC4EB72C0944F4F7http://northropgrumman-veterans.jobs/4F51D64C715643FDAC4EB72C0944F4F723Salt Lake CityNorthrop GrummanUnited StatesUSA2024-03-28 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman offers a challenging career opportunity in our Operations Electronics & Avionics Engineering team within the Mission Systems sector at our **Salt Lake City, UT** facility. Working in Northrop Grumman Mission Systems means making a direct contribution to mission projects that keep our homeland safe and expanding the boundaries of the world we live in. We are seeking a **Principal Engineer Test** to join our team of qualified diverse individuals.
**Roles and Responsibilities:**
+ Test development for high reliability electronic Circuit Card Assemblies (CCA's). Test methods may include ICT, functional test, flying probe, and manual testing.
+ Evaluation and disposition of CCA's, including debugging and fault isolation. This may include a broad range of circuit technologies such as digital, analog, power and RF Test.
+ Utilize basic electronic troubleshooting tools. DMM, oscilloscopes, power supplies, frequency generators, spectrum analyzers, and data collection too.
+ Analysis of test yields and trends to identify improvement opportunities.
+ Evaluation of new designs for proposed test methods and test coverage.
+ Reading and understanding schematics, drawings, and parts lists.
+ Provide recommendations and justification for new tests and equipment.
**Basic Qualifications:**
+ Bachelor's in Electrical Engineering, Electronics Technology, Computer Science, Computer Engineering, Mechanical Engineering or other STEM related technical discipline with 5 years of experience; 3 years with Masters.
+ Experience with testing or troubleshooting electronics assemblies and circuit cards down to the component level.
+ Must be able to collaborate in a team environment, negotiate, and integrate different viewpoints.
+ Must be able to prioritize and adjust tasks to accomplish the project result.
+ US Citizenship is required with the ability to obtain and maintain a DoD Secret clearance.
**Preferred Qualifications:**
+ Flying Probe Program Development.
+ Experience developing software applications.
+ SAP experience.
+ IPC Standards/J-STD-001 familiarity.
+ Experience with ICT, functional testing, continuity testing, or other electronic testing.
+ Experience with test plan creation.
+ Experience developing test requirements.
+ Experience in RF testing and circuitry.
+ Active secret or higher clearance.
**Salary Range:** $84,200 - $126,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Salt Lake City, UTR10150723UtahUTPrincipal Engineer TestNone58B11C7449A843009FDD29A798392AEBhttp://northropgrumman-veterans.jobs/58B11C7449A843009FDD29A798392AEB23LinthicumNorthrop GrummanUnited StatesUSA2024-03-28 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems and its Classified Solutions team is seeking a well-qualified Lead Windows Administrator to join its dynamic team of technical professionals in the Linthicum, MD area. Please note that due to the classified nature of this position, the selected candidate will be required to work on-site, full-time, at our Linthicum, MD campus, and that this is not a remote work opportunity. Roles and responsibilities will include but not be limited to the following:
+ Perform as primary Lead Windows Systems Administrator for a large, classified government contract(s).
+ Maintain efficient operations of the entire IT infrastructure including servers, desktop, and networking equipment.
+ Communicate effectively with all stakeholders in written and verbal formats.
+ Ensure necessary IT projects are completed independently or assigned to others as necessary.
+ Obtain and analyze internal and external customer requirements and recommend equipment and software as needed.
+ Implement system enhancements that will improve the performance, reliability, and security of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Manage backup infrastructure and provide backup and recovery services.
+ Manage virus protection on a routine basis as directed by policy.
+ Maintain security audit and logging information on all classified networked and standalone computers as directed by the Cyber Security team.
+ Create and maintain user and computer accounts and modify file permissions as directed by policy.
+ Develop and maintain technical processes and procedures as needed.
**Basic Qualifications:**
+ Associate's degree with 11 years of experience, or a Bachelor's degree with 9 years of experience, or a Master's degree with 7 years of experience, or a PhD with 4 years of experience; a High School diploma or equivalent with 13 years of experience may be considered in lieu of a completed degree.
+ Experience operating under and managing systems within current applicable information systems compliance standards.
Technical Abilities:
Experience maintaining Classified Windows Domains in effective operations to include advanced knowledge of the following:
+ Active Directory (AD) deployment, maintenance and support.
+ Group Policy (GPO).
+ DHCP and scopes.
+ Windows Server Update Servers (WSUS), SCCM, or similar update service.
+ Certificate Authority (CA) server.
+ Supporting license managers.
+ Supporting golden image creation, deployment, and underlying infrastructure.
+ Experience deploying vulnerability scanning tools and remediation.
Clearance and Access:
+ Candidates must have a current DoD Top Secret level security clearance (at a minimum), to include a closed investigation date completed within the last 6 years, or must be enrolled in the DoD Continuous Evaluation Program (CEP), in order to be considered; the required security clearance must be maintained as a condition of continued employment.
+ The selected candidate will be required to obtain and maintain a Special Access Program (SAP/SAR) clearance as a condition of continued employment.
Non-Technical Abilities and Certifications:
+ Currently valid Security+ CE, CASP, CISSP, or similar DoD 8570 security certification, or the ability to obtain the certification within six months of start date; the required certification must be maintained as a condition of continued employment.
+ Experience leading a technical team of IT professionals.
+ Experience mentoring junior level IT professionals.
+ Experience giving technical presentation to technical and non-technical attendees.
+ Experience providing surge support to other programs as needed.
+ Active vendor-based IT certification.
+ Ability to travel as needed.
+ Ability to lift equipment weighing up to 40 pounds.
+ Ability to work after hours and weekends as needed.
**Preferred Qualifications:**
+ Experience with VMWare implementation, migration, and maintenance.
+ Experience with NetApp and/or Nutanix implementation, migration, and maintenance.
+ Experience with system design and architecture.
+ Experience with communicating with executive leadership.
**Salary Range:** $115,400 - $173,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10154604MarylandMDSr. Windows Systems AdministratorNone6501AB9BEA354AC08409A8813AD24D09http://northropgrumman-veterans.jobs/6501AB9BEA354AC08409A8813AD24D0923HuntsvilleNorthrop GrummanUnited StatesUSA2024-03-28 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.**
**Are you ready to put your experience to work at Northrop Grumman? If so, our Defense Systems (NGDS) wants you to join our team as a Financial Analyst and join our team of qualified, diverse individuals.**
**You will become an integral part of the Special Mission Aircraft team tasked with providing guidance and support to the business unit for all planning and reporting activities. The ideal candidate will be proactive and have the ability work in a fast-paced environment. This position will be located on-site in Huntsville, AL.**
**Essential Functions:**
+ **Performs analyses and prepares reports in order to ensure that contracts are within negotiated and agreed-upon parameters and government cost control guidelines**
+ **Prepares budgets and schedules for contract work and performs and/or assists in financial analyses such as funding profiles and variance analysis**
+ **Ensures adequate funding availability by maintaining accurate records of expenditures, directing preparation of expenditure projections, and submitting timely requests for additional funding to the government**
+ **Incorporates contractual changes into control systems by staying aware of outstanding work against each contract in order to maintain realistic contract cost and schedule baselines**
+ **Runs reports of actual cost incurred and prepares reports to compare the variance between budgeted work and actual cost incurred**
+ **Leads the preparation and submittal of monthly financial CDRLs**
+ **Supports monthly/year-end financial activities at the CLIN level of a large program with multiple contract types**
+ **Determines, monitors, and reviews costs, operational budgets and schedules, manpower requirements, and material purchases as it relates to managing costs and accurate/compliant invoicing**
+ **Reviews inventory management and tracks material purchases**
+ **Prices rough order magnitude (ROM) estimates and supports proposal pricing**
+ **Assess progress against established plans and parameters and supports preparation of Estimate to Complete (ETC) and Estimate at Complete (EAC)**
+ **Prepares monthly financial rolling forecasts and annual AOP/LRSP**
+ **Interfaces with Program Management Office and Functional teams to provide financial support and analysis to meet program requirements**
+ **Effectively communicates with leadership and customers regarding financial status, metrics and deliverables**
NGSkills
**Basic Qualifications:**
+ **Will consider one of the following:**
+ **High School Diploma or equivalent and a minimum of 7 years of Financial Analyst or related experience in financial analysis or business-related professional field.**
+ **Bachelor's degree with a minimum of 3 years of Financial Analyst or related experience in financial analysis or business-related professional field.**
+ **Master's degree with a minimum of 1 plus years of Financial Analyst or related experience in financial analysis or business-related professional field.**
+ **Experience with MS Office, including Excel**
+ **Strong analytical and communication skills**
+ **Experience with financial planning and forecasting**
+ **Ability to obtain and maintain a DoD Secret security clearance**
**Preferred Qualifications :**
+ **Excellent analytical skills and ability to prioritize multiple assignments in order to meet deadlines**
+ **SAP experience**
+ **Intermediate to advanced knowledge of Excel**
+ **Experience in cost management, finance management, or pricing & estimating**
+ **A current Secret Clearance**
**Salary Range:** $68,800 - $103,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Huntsville, ALR10154374AlabamaALFinancial AnalystNone6C8701A6FFEB4082B691DFF583916ECChttp://northropgrumman-veterans.jobs/6C8701A6FFEB4082B691DFF583916ECC23Rolling MeadowsNorthrop GrummanUnited StatesUSA2024-03-28 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
Northrop Grumman's Mission Systems (NGMS) Sector, Emerging Capabilities Development (ECD) is seeking a **Principal Contract Administrator to join our team in Rolling Meadows, IL.** This position will support the growing portfolios within the Advanced Missions (AM) Business Unit. The job assignment is for development programs across multiple customers. The successful candidate will experience supporting work conducted in classified environments, focused on programs delivering the latest and greatest software, technology and development services for contracts with US Government and commercial customers. **We are open to a hybrid working schedule, which would require several days a week in the office, as needed, to support classified programs.** Come join us!
**What You'll Get to Do:**
In this role, the selected candidate will be responsible for, but not limited to, the following activities:
+ Lead solicitation and RFP review/analysis, proposal preparation, and signoff. Examine estimates of material, equipment services, production costs, performance requirements, and delivery schedules to ensure accuracy and completeness.
+ Negotiate, administer, extend, and close standard and nonstandard contracts. Provide for proper contract acquisition and fulfillment in accordance with company policies, legal requirements, and customer specifications.
+ Establish successful working relationships with customers via email, telephone, and in-person, when appropriate
+ Collaborate effectively with the program team (program management, finance, supply chain, legal, pricing, and compliance) to recommend and complete actions to ensure satisfactory program performance. Work with program business management team to closely monitor contract funding and payments.
+ Advise management of contractual rights and obligations.
+ Compile and analyze data. Maintain historical information including official correspondence. Enter and maintain contract data into Contract Management System and/or Enterprise Resource Planning system. Ensure timely delivery of all contractual deliverables and submission of invoices.
+ Analyze and negotiate non-disclosure agreements, teaming agreements, software licensing agreements, and other memoranda.
**Basic Qualifications:**
+ Bachelor's degree with 6+ years of Business, Law or related work experience or Master's degree with 4+ years of Business, Law or related work experience.
+ Proficiency with Microsoft Office Suite (specifically Word, Excel and PowerPoint) and Adobe
+ Familiarity with contract terms and conditions (FAR and DFARS) in proposal development, negotiation, and contract administration.
+ Understanding of commercial/US Government contractual instruments including contract type, terms and conditions, and contract financing vehicles.
+ Excellent verbal and written communication skills.
+ Demonstrated leadership qualities; ability to start, oversee, and follow-through with major assignments and responsibilities.
+ Ability to work in a dynamic, fast paced environment.
+ Willingness and ability to travel domestically up to 10%.
+ US citizenship.
+ Active Secret DoD security clearance. Ability to maintain a DoD Secret security clearance.
**Preferred Qualifications:**
+ 5+ years of DoD Contracts Experience
+ Top Secret clearance
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $84,600 - $127,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Rolling Meadows, ILR10154324IllinoisILPrincipal Contract Administrator (Active Security Clearance REQUIRED)None7C3003D6EBB84C23B3E0921C9AB36EB2http://northropgrumman-veterans.jobs/7C3003D6EBB84C23B3E0921C9AB36EB223PlymouthNorthrop GrummanUnited StatesUSA2024-03-28 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman's, Armament Systems Business Unit** is looking for a Medium Caliber Ammunition (MCA) Co-Production (Co-Pro) **Engineering Manager II** to join our team of dedicated, diverse individuals based out of our Plymouth, MN facility.
Armament Systems specializes in the development and production of Ammunition, Guns, and Precision Guidance Ordinance.
**Position Summary / Responsibilities:**
The MCA Co-Pro Engineering Manager reports to the Senior Co-Production Engineering Manager and manages Co-Pro specific MCA engineering activities across the international business portfolio. The MCA Co-Pro Engineering Manger leads a small and diverse team that supports ongoing MCA Co-production programs, proposal efforts for new business, and the development of future MCA Co-Production capabilities.
This position will provide Design to Production Transition (DTPT) Mechanical and Manufacturing engineering expertise in the manufacturing of advanced medium caliber ammunition for international pursuits. The person filling this position must understand a wide variety of manufacturing processes (e.g. hydraulic/pneumatic presses, CNC machining, tooling, inspection equipment, etc.) and have experience in a design to production environment. This position requires 50% domestic and international travel.
The MCA Engineering Manager ensures that all production support and development activities utilize the best approaches to ensure deliver of highest quality MCA production items and an efficient, rigorous development culture for new MCA products while considering the input of all internal and external customers. The MCA Engineering Manager will lead, coach, and direct other engineers and technicians to accomplish project deliverables and strategic objectives, drive timely decision making, and develop a highly talented team.
**Typical Duties:**
+ Provide guidance, direction, and performance feedback to a diverse cross functional group of engineers on difficult technical challenges.
+ Supports Engineering/Program Manager as a control account manager to ensure accurate schedule and cost tracking.
+ Demonstrated project management skills and techniques including work breakdown structures, integrated master scheduling and earned value management.
+ Coach, support, and motivate to ensure a high performing engineering team. Create and maintain development and succession plans for team members. Lead recruiting efforts to build and maintain team capabilities.
+ Ensure hiring plans, staff development, and workload plans are aligned to overall capability and capacity strategy. Manage team budgets, schedules, and resources to effectively meet business goals.
+ Set and promote high standards for quality and safety. Maintains selected engineering processes and drive technical excellence by ensuring team output meets technical standards.
+ Maintain knowledge of engineering best practices and technology innovations by attending industry events and educational activities.
+ Represent and communicate Defense Systems technical capabilities, capacity, and technologies to internal and external customers.
+ As a front facing representative to our customers the candidate must be able to communicate, brief and present deliverables at program technical reviews, proposal reviews, or other technical meetings.
+ Presents work products at program reviews, proposals, or other project meetings.
+ Prepares and plans cost estimates and written technical proposals.
+ Acts as a technical interface to external customers, and forms working relationships with representatives of external technical organizations.
+ Lead performance and compensation management activities for team members.
+ Prepare, review, and approve cost estimates and written technical proposals. Manage cost and schedule for independent research or process improvement projects assigned to your team.
+ Advise stakeholders and team members on how to meet established schedules or resolve technical issues.
+ Coordinate with programs and peer functional managers to ensure appropriate staffing strategies are developed and executed with any performance issues resolved or escalated in a timely manner.
+ Ensure team members are familiar with and use required engineering processes and tools.
+ Will involve sometimes stepping into other roles when needed.
+ Provide on-site support at international facilities (requires approximately 50% travel).
**Basic Qualifications:**
+ Bachelor's degree from an accredited university with 8 years of experience, a Master's degree with 6 years of experience, or a PhD with 4 years of experience.
+ Minimum of 5 years of experience in leading, developing, and reviewing team members
+ Demonstrated experience mentoring, leading and coaching in a professional capacity
+ Experience leading a technical team toward successful product delivery
+ Proven partnership/collaboration skills and ability to partner with cross disciplines within a team
+ Exceptional communication skills (verbal, written, presentation) across all levels of the organization
+ Able to travel domestically and internationally up to 50% of the time
+ Must be a US Citizen
**Preferred Qualifications:**
+ Engineering Degree from an accredited university
+ 5+ years of experience in leading, developing, and reviewing team members
+ 5+ years working with medium caliber ammunition production and/or development activities
+ Experience with supporting production programs, including experience with production process and variation control methods and techniques.
+ Experience with managing ammunition development and qualification programs.
+ Experience with AS9100/ISO 9001, CMMI, and Advanced Product Quality Planning (APQP)
+ Six Sigma/Lean experience
+ Project management experience
+ Experience working with suppliers and procurement to improve quality and optimize schedules
**Salary Range:** $131,800 - $197,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Plymouth, MNR10150928MinnesotaMNEngineering Manager IINone9843F33AE2664156A491A8B93A0370C2http://northropgrumman-veterans.jobs/9843F33AE2664156A491A8B93A0370C223MelbourneNorthrop GrummanUnited StatesUSA2024-03-28 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for either a **Principal Program Planning Scheduling Analyst (level 3) or Senior Principal Program Planning Scheduling Analyst (level 4)** to join our team of qualified, diverse individuals! This position will be located **on site** in **Melbourne, Florida or Palmdale, CA** . The selected candidate will work closely with the Program Leadership to meet the goals and objectives of the AS Business Management organization.
This requisition may be filled as either a Level 3 Principal Program Planning Scheduling Analyst or a Level 4 Sr Principal Program Planning Scheduling Analyst.
Essential Functions Level 3:
+ Prepares, develops and coordinates the integrated master plan and integrated master schedule to meet all program objectives
+ Ensures major projects and program schedules and plans are horizontally and vertically integrated across company functional groups including finance, engineering, material, manufacturing, and quality
+ Tracks plans and schedules, performs risk analysis, identifies and resolves critical path and network logic conflicts
+ Uses Gantt, PERT, milestone charts, earned value management and other project management techniques to gauge progress and identify performance variances to facilitate focus and intervention on critical areas
Essential Functions Level 4:
+ Develops and presents material to external customers and core process level management
+ Ability to schedule a proposal
+ Prepares, develops and coordinates the integrated master plan and integrated master schedule to meet all program objectives
+ Ensures major projects and program schedules and plans are horizontally and vertically integrated across company functional groups including finance, engineering, material, manufacturing, and quality
+ Tracks plans and schedules, performs risk analysis, identifies and resolves critical path and network logic conflicts
+ Uses Gantt, PERT, milestone charts, earned value management and other project management techniques to gauge progress and identify performance variances to facilitate focus and intervention on critical areas
We offer flexible work arrangements, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees!
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
Basic Qualifications for the Level 3:
+ Bachelor's Degree with 5 years of experience in Program Planning or a Master's Degree with 3 years of related experience or an additional 4 years of experience in lieu of degree
+ **Active DOD Secret Security Clearance awarded/reviewed within the last 5 years**
+ Additional screening for enhanced security clearance
+ Proficiency in Microsoft Office Suite and Microsoft Project
+ **Ability to work on-site daily in either Melbourne, Florida or Palmdale, CA**
Basic Qualifications for the Level 4:
+ Bachelor's Degree with 9 years of experience in Program Planning or a Master's Degree with 7 years of related experience or an additional 6 years of experience in lieu of degree
+ **Active DOD Secret Security Clearance awarded/reviewed within the last 5 years**
+ Additional screening for enhanced security clearance
+ Proficiency in Microsoft Office Suite and Microsoft Project
+ **Ability to work on-site daily in either Melbourne, Florida or Palmdale, CA**
Preferred Qualifications:
+ Aerospace/Defense Industry experience
+ Excel Macro/VBA Skills
+ Experience in Deltek Cobra
+ Experience in Deltek Acumen Risk
+ Comprehensive understanding of EVMS standards and best practices
+ Experience in Microsoft Project and Project Server
+ Schedule Risk Assessment (SRA)
Salary Range for Principal Program Planning Scheduling Analyst (Level 3) in Melbourne, FL: $84,200.00 - $126,400.00
Salary Range for Senior Principal Program Planning Scheduling Analyst (Level 4) in Melbourne, FL: $ 104,400.00 - $156,600.00
Salary Range for Principal Program Planning Scheduling Analyst (Level 3) in Palmdale, CA: $97,500.00 - $146,300.00
Salary Range for Senior Principal Program Planning Scheduling Analyst (Level 4) in Palmdale, CA: $ 120,900.00 - $181,300.00
**Salary Range:** $84,200 - $156,600
**Salary Range 2:** $97,500 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10154641FloridaFLPrincipal or Senior Principal Program Planning and Scheduling Analyst (Clearance Required)NoneEE2E82CBBD8C489F8B3234BFF673F4F4http://northropgrumman-veterans.jobs/EE2E82CBBD8C489F8B3234BFF673F4F423BaltimoreNorthrop GrummanUnited StatesUSA2024-03-28 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
**Northrop Grumman Mission Systems** sector is seeking a **Manufacturing Analyst / Principal Manufacturing Analyst** to join our **Production Control Team** in **Linthicum, MD** . **(BWI East)**
This position will support production, business, and other processes in a team-based manufacturing environment. Performs activities in a variety of cross-functional areas including production planning and control, total quality management, systems, manufacturing, equipment and facilities engineering, material management, and process reengineering. The incumbent may facilitate self-directed work teams, provide training in core skill areas to teams and peers, and interact with internal and external customers with respect to product planning, problem resolution, and process improvement. The successful candidate will have strong organizational, analytical, presentation, problem resolution, and process improvement skills; and be comfortable communicating with internal and external customers, as well as working in cross functional teams.
**What You'll get to Do:**
+ Planning, organizing, and controlling the timely flow of product through the Manufacturing Cell to support both internal and external customer delivery requirements
+ Serving as the primary interface between Production Management, Manufacturing first line supervision, Manufacturing Cell Management, Operations Program Management, Production Material Control Specialists, Kitting and Inventory Management, Final Assembly Cells Production Control personnel as well as interfacing with Engineering and Quality
+ Providing status of hardware of assigned Programs from the time of initial kit conversion, through manufacturing process, to delivery of hardware to internal and or external customers
+ SAP metric maintenance, i.e., Aging Orders, and Overdue hours, 'Confirmed not Delivered' orders, floor stock inventory control, and the resolving of material shortages as they're late to open production orders
This position may be filled as a **Manufacturing Analyst** or **Principal Manufacturing Analyst** based on the qualifications below.
**Basic Qualifications for a Manufacturing Analyst** :
+ Bachelor's degree plus 3 years of experience; Masters degree plus 1 year of experience; 7+ years of relevant experience years of experience may be considered in Lieu of a degree
+ Must have the ability to obtain and maintain a U.S. Secret Level Security Clearance for which U.S. Citizenship is required
+ Experience working in a cross-functional team
+ Minimum 1 year of SAP/ERP or other related systems experience
**Basic Qualifications for Principal Manufacturing Analyst:**
+ Bachelor's Degree plus 6 years of relevant experience; Master's Degree with 4 years of relevant experience; 10+ years of relevant experience may be considered in lieu of a degree
+ Must have the ability to obtain and maintain a U.S. Secret Level Security Clearance for which U.S. Citizenship is required
+ Experience working in a cross-functional team
+ Minimum 1 year of SAP/ERP or other related systems experience
**Preferred Qualifications:**
+ Degree in Industrial Engineering, Business Administration, Supply Chain Management, Mathematics, or other closely related area are preferred
+ Active U.S. Secret Level Security Clearance or higher
+ Proficient in Operations Business Systems (SAP preferred)
+ Experience in MRP and Production Control
+ Self-Motivated Individual with strong organizational, communication, interpersonal, and PC computer skills
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
**NGFeaturedJobs**
MANUMS
Northrop Grumman has approximately 90,000 employees in all 50 states and in more than 27 countries. We strive to attract and retain the best employees by providing an inclusive work environment wherein employees are receptive to diverse ideas, perspectives, and talents to help solve our toughest customer challenges: to develop and maintain some of the most technically sophisticated products, programs, and services in the world.
Our Values . The women and men of Northrop Grumman Corporation are guided by Our Values. They describe our company as we want it to be. We want our decisions and actions to demonstrate these Values. We believe that putting Our Values into practice creates long-term benefits for shareholders, customers, employees, suppliers, and the communities we serve.
Our Responsibility . At Northrop Grumman, we are committed to maintaining the highest of ethical standards, embracing diversity and inclusion, protecting the environment, and striving to be an ideal corporate citizen in the community and in the world.
**Salary Range:** $68,800 - $103,200
**Salary Range 2:** $84,600 - $127,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10150942MarylandMDManufacturing Analyst / Principal Manufacturing AnalystNone2ED23F8E3AEE4B03AE4C0CC5B0169820http://northropgrumman-veterans.jobs/2ED23F8E3AEE4B03AE4C0CC5B016982023San DiegoNorthrop GrummanUnited StatesUSA2024-03-28 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems and its Classified Solutions Team is seeking a Network Communications Engineer; this position will be located in San Diego, CA. Please note that due to the classified nature of this position, the selected candidate will be required to work on-site, full-time, at our San Diego, CA campus, and that this is not a remote work opportunity. Job responsibilities will include, but not be limited to, the following:
+ Maintain smooth operation of classified network.
+ Plan, design, configure, and install network hardware in support of customer requirements.
+ Maintain technical expertise in all areas of networks and computer hardware and software interconnection and interfacing, such as routers, multiplexers, firewalls, switches, gateways, etc.
+ Propose solutions to management to ensure all communications requirements are based on future needs and current usage, configuring such solutions to optimize cost savings.
+ Create and ensure that appropriate network documentation exists, including operational instructions.
+ Provide regular monitoring and network analysis regarding short and long-range planning for in-house systems.
+ Perform troubleshooting analysis of network infrastructure and associated systems.
+ Install and configure Cisco routers, switches, and firewalls.
+ Implement and troubleshoot LAN technologies such as VLANs, Trunking (port tagging), Spanning-Tree, and Port Security.
+ Provide third-level support and troubleshooting of network problems.
+ Design and implement an enterprise network.
This position may be filled at a Level 3 or Level 4, based on the qualifications listed below.
**Basic Qualifications for a Level 3:**
+ Associate's degree and 7 years of experience, or a Bachelor's degree and 5 years of experience, or a Master's degree and 3 years of experience; a High School diploma or equivalent and 9 years of related experience may be considered in lieu of a completed degree.
+ Candidates must have a current DoD Top Secret level security clearance (at a minimum), to include a closed investigation date completed within the last 6 years, or must be enrolled in the DoD Continuous Evaluation Program (CEP), in order to be considered; the required security clearance must be maintained as a condition of continued employment.
+ IAM Level I certification (ex: Security+ CE) or greater, or the ability to obtain certification within 3 months of start date; maintaining the required certification will be a condition of continued employment.
+ Experience with Microsoft Office, Visio, Project, PowerPoint, and Excel.
+ Experience designing and maintaining LAN & WAN based networks.
+ Experience with managing routing protocols on large LAN environments.
+ Experience with Cisco hardware and setting up switched networks.
+ Ability to lift equipment weighing up to 40 pounds.
**Basic Qualifications for a Level 4:**
+ Associate's degree and 11 years of experience, or a Bachelor's degree and 9 years of experience, or a Master's degree and 7 years of experience; a High School diploma or equivalent and 13 years of related experience may be considered in lieu of a completed degree.
+ Candidates must have a current DoD Top Secret level security clearance (at a minimum), to include a closed investigation date completed within the last 6 years, or must be enrolled in the DoD Continuous Evaluation Program (CEP), in order to be considered; the required security clearance must be maintained as a condition of continued employment.
+ IAM Level I certification (ex: Security+ CE) or greater, or the ability to obtain certification within 3 months of start date; maintaining the required certification will be a condition of continued employment.
+ Experience with Microsoft Office, Visio, Project, PowerPoint, and Excel.
+ Experience designing and maintaining LAN & WAN based networks.
+ Experience with managing routing protocols on large LAN environments.
+ Experience with Cisco hardware and setting up switched networks.
+ Ability to lift equipment weighing up to 40 pounds.
**Preferred Qualifications:**
+ Bachelor's or Master's degree in IS related field.
+ Cisco CCNA, CCNP, or CCIE certification.
+ Previous experience troubleshooting network procedures and best practices; experience with network challenges associated with systems integration, including COTS integration, capacity analysis, and system architecture design.
+ Demonstrated strong leadership skills.
+ Demonstrated experience in the use of network analysis tools (i.e. WireShark, Opnet, etc.).
**Salary Range:** $97,500 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10154086CaliforniaCAPrincipal/Sr. Principal Network Communications EngineerNone33E27CB536784F22B4952CB4BEB888FChttp://northropgrumman-veterans.jobs/33E27CB536784F22B4952CB4BEB888FC23BaltimoreNorthrop GrummanUnited StatesUSA2024-03-28 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on groundbreaking systems that impact people's lives around the world today and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they are also making history.
As an Embedded Software Engineer at Northrop Grumman, you will have a challenging and rewarding opportunity to be a part of our Enterprise-wide digital transformation. Using Model-based Engineering, DevSecOps, and Agile practices, we continue to evolve how we deliver critical national defense products and capabilities for the warfighter. Our success is grounded in our ability to embrace change, move quickly and continuously drive innovation.
We are looking for you to join our team as an Embedded Software Engineer/Principal Embedded Software Engineer based out of Baltimore, MD or Charlottesville, VA.
Working within the Combat Sensors Software and Digital Technologies Organization, you will have a challenging and rewarding opportunity to be a part of a team building Radar systems in support of Northrop Grumman systems. You will design, develop, integrate, and maintain software components that are integrated with hardware to meet system requirements. You will apply the appropriate standards, processes, procedures, and tools throughout the system development life cycle to lead the generation of engineering applications and products. In your role, you will have the opportunity to interface with customers, suppliers, application users and other technical and support personnel. The ideal candidate will have experience using model-based engineering and agile practices that support the full software development life. They will be collaborative, open, transparent, and team-oriented with a focus on team empowerment and shared responsibility, flexibility, continuous learning, and a culture of automation.
**Roles and Responsibilities:**
+ Work with a team to develop Radars Systems and Sensors for multiple platforms.
+ Design, build and test real time radar data and signal processing, aerial target detection and tracking, and other modes.
+ Identify risks, suggest mitigations, track defects, develop resolutions, and report status.
+ Develop and execute unit tests and build verification test plans, and track and report test status.
+ Conduct reviews of software related products, software designs, and additional technical documents.
+ Actively participate in an Integrated Product Team (IPT), support design reviews and technical peer reviews to ensure system/software requirements are being met from an end-to-end system point of view.
**Basic Qualifications for a Principal Embedded Software Engineer:**
+ 5 years of relevant professional experience with a bachelor's degree in computer science, Computer Engineering, Electrical Engineering, or other STEM (Science, Technology, Engineering or Mathematics) discipline; 3 years with Masters; 0 years with a PhD.
+ Experience working in C/C++.
+ Experience in working with real time embedded software.
+ Experience with full software lifecycle: specification, design, implementation, integration, debug and maintenance required.
+ Active DoD Security Clearance at the Secret Level (or above) is required to start.
**Preferred Qualifications:**
+ Proficiency in real-time embedded software development.
+ Knowledge of Radar technologies.
+ Experience in algorithmic application development and optimization.
+ Experience with VxWorks and/or Linux Operating Systems.
+ Experience with Visual Studio or VS Code.
+ Experience with MATLAB.
+ Experience in Open Architecture Solutions.
+ Experience with the Atlassian tool set, Kubernetes, Model Based Engineering, and DevSecOps best practices.
This position is contingent upon receiving Secret Clearance and SAP (special access program) prior to starting.
**Salary Range:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10154301MarylandMDPrincipal Engineer Embedded SoftwareNoneC66867B5AAA44E87880B5D09467DB4C4http://northropgrumman-veterans.jobs/C66867B5AAA44E87880B5D09467DB4C423MelbourneNorthrop GrummanUnited StatesUSA2024-03-28 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists.**
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29 .The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members.
Responsibilities for this internship position are:
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for SkillBridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
Goals - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
Objectives - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
Outcome - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
DoD SkillBridge Eligibility:
+ * Has served at least 180 days on active duty
+ * Is within 12 months of separation or retirement
+ * Will receive an honorable discharge
+ * Has taken any service TAPS/TGPS
+ * Has attended or participated in an ethics brief within the last 12 months
+ * Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
The Mission Systems Software group is seeking an Associate Software Test Engineer/ Software Test Engineer for Subsystem CSCI Testing and Integration support in an Agile Software development environment. This position requires excellent interpersonal skills and the ability to interface with a multidisciplinary Integrated Product Team. Candidate must be able to build automated tests using python to conduct incremental component level testing and integration of product functionality. Responsibilities will also include:
+ The selected candidate will become familiar with governing standards to include UAI Platform-Store ICD, MIL-STD-1553, OMS and MIL-STD-1760.
+ Reviewing, interpreting and analyzing formal and informal requirements
+ Creating Test Plans and Procedures that acknowledge technical and schedule constraints
+ Executing Test Procedures to ensure requirements compliance
+ Supporting development, integration, and execution of test pass/fail quantitative metrics
+ Performing troubleshooting as required
+ Documenting Test results and creating Test Reports as needed
+ Writing Defects and Software discrepancies
+ Performing Component to Component Integration
Basic Qualifications: Associate Software Test Engineer
+ Candidates must have a Bachelor of Science degree in a STEM (Science, Technology, Engineering or Math) discipline and 0 years of experience.
+ Ability to obtain DoD Secret clearance and program access
+ Experience scripting using python
+ Experience integrating and testing software subsystems into systems
Basic Qualifications: Software Test Engineer
+ Candidates must have a Bachelor of Science degree in a STEM (Science, Technology, Engineering or Math) discipline and 2 years of experience.
+ Ability to obtain DoD Secret clearance and program access
+ Experience scripting using python
+ Experience integrating and testing software subsystems into systems
Preferred Qualifications:
+ Bachelor's or Master's degree in Computer Engineering, Computer Science, or Electrical Engineering
+ Familiarity with software requirements tools such as DOORS
+ Familiarity with testing and integrating safety critical systems (C/C++ software)
+ Familiarity with software development tools such as Git, CMake, VectorCAST, and Eclipse
+ Knowledge of Linux systems and basic commands
+ Familiarity with writing Test Scripts
+ Familiarity with Atlassian tool suite with add-ons like Jira and confluence
+ Familiarity with Agile SW development process
+ Familiarity with Hardware Software Compatibility matrix process
**Salary Range:** $62,200 - $93,400
**Salary Range 2:** $75,300 - $112,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10150954FloridaFLNorthrop Grumman (DoD SkillBridge) Associate Software Test Engineer/ Software Test EngineerNone281A53A114B64FC0A22DCBEF640912EDhttp://northropgrumman-veterans.jobs/281A53A114B64FC0A22DCBEF640912ED23BeaufortNorthrop GrummanUnited StatesUSA2024-03-28 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Are you ready to put your experience to work at Northrop Grumman? If so, our Defense Systems F-35 Sustainment HPSI Operating Unit, wants YOU to join our Team as a **Aircraft Mechanic 4** and join our team of qualified, diverse individuals. You will be assigned as a **Aircraft Mechanic 4** **- Ordnance** supporting F-35 organizational maintenance at **MCAS Beaufort** . Your Mission will be to support O-Level maintenance on F-35.
In this position you will be subject to work first, second, third, or weekend shift , as directed by the Aircraft Maintenance Manager. You must be able to depart on temporary duty assignments- on short notice . You will practice good housekeeping and follow safety procedures ensuring both programs are enforced.
**Your duties and responsibilities include (but are not limited to)** :
+ Performs receipt/turn-in, acceptance, storage, usage tracking, aircraft configuration and management of Alternate Mission Equipment (AME) items using Autonomic Logistics Information System (ALIS) applications supporting F-35B sustainment operations.
+ Ensures Electronic Equipment Logbook (EEL) is complete and accurate for all incoming and outgoing AME in accordance with all applicable program and service guidance.
+ Performs and/or assists with Field-Level Modifications and TCTD required actions.
+ Ensures AME Program adherence to F-35 Program Instruction 1516.01 Sustainment Operating Instruction (SOI) Alternate Mission Equipment (AME) Management.
+ Maintains and updates aircraft records and statuses within Computerized Maintenance Management System (CMMS).
+ Keeps the production staff updated on equipment status and future requirements.
+ Performs other duties as assigned by the Aircraft Maintenance Manager.
+ Performs Action Request through Customer Relationship Management (CRM) tool to resolve any physical or electronic discrepancies.
Maintenance tasks include :
+ Configuring aircraft for modifications, removal, and installation of attaching hardware, component removal and installations, assistance with software/ADL uploads, various system operational checkouts, and Ordnance system maintenance.
Technical requirements include :
+ Fault isolation, troubleshooting, and repair of system failures detected during operational checks associated with modifications and restoration of equipment to a serviceable condition after accomplishment of modifications and/or maintenance. Interprets and uses various types of test equipment and works from diagrams, engineering drawings, job guides, and maintenance manuals.
**Basic Qualifications :**
+ Must have a high school diploma or equivalent and a minimum of 6 years of Aviation Ordnance/Armament Handling Equipment experience.
+ **U.S. citizenship required.**
+ **A current DoD Secret Clearance (or higher).**
+ **Ability to obtain Special Access Program clearance, as required.**
+ Ability to successfully navigate Autonomic Logistics Information System (ALIS), as required.
+ Willing/able to provide temporary support to our other CLS locations and/or emerging deployments.
+ Willing/able to work a flexible work schedule that may include extended shifts, holidays, and weekends and support on call status for 24 hours-a-day, 7 days-a-week, 365 days a year.
+ Able to meet the physical demands of this position, including regularly required to lift up to 50 pounds and perform repetitive movements, work overhead, use hands to grasp, handle, and/or feel, reach. The employee is frequently required to stand, walk, stoop, kneel, squat, crawl, and twist.
**Preferred Qualifications :**
+ US Navy or Marine Corps CDI, Air Force 5 or 7 Level, or Ordnance handling and load team qualifications (Quality Assurance Safety Observer, Team Leader, or Team member).
+ Experience with Low Observable (LO) maintenance and repair.
+ Experience using Autonomic Logistics Information System (ALIS) applications as it relates to maintenance/supply management.
+ Eight or more years F-22 or F-35 Ordnance and/or Alternate Mission Equipment (AME) Management.
+ U. S. Navy or U. S. Marine Corps experience with thorough understanding of F-35 Fighter Aircraft Systems and operations under COMNAVAIRFORINST 4790.2, 4790.35, and Naval Ordnance Management Policy M-8000.16 (NOMP).
+ Experience with Conventional Ordnance Deficiency Reports (CODR), Explosive Event Reports (EER), Explosive Mishap Reports (EMR), and other NAMDRP reporting, as required.
+ Excellent communication skills and experience in a Military-focused Customer Service-Related Industry.
**Salary Range:** $64,700 - $107,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Beaufort, SCR10150828South CarolinaSCCLS - F-35 Aircraft Mechanic 4 / Ordnance (Beaufort SC)None1A3406F303A24EE89FCF3B4F7483CF95http://northropgrumman-veterans.jobs/1A3406F303A24EE89FCF3B4F7483CF9523San DiegoNorthrop GrummanUnited StatesUSA2024-03-28 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
We are looking for you to join our team as a Digital Engineer based out of our Rancho Carmel or Spectrum Center campuses in San Diego, CA.
**What You'll Get to Do:**
As an Electrical / Digital Engineer at Northrop Grumman you will have a challenging and rewarding opportunity to be a part of our Enterprise-wide digital transformation. Through the use of Model-based Engineering, DevSecOps and Agile practices we continue to evolve how we deliver critical national defense products and capabilities for the warfighter. Our success is grounded in our ability to embrace change, move quickly and continuously drive innovation. The successful candidate will be collaborative, open, transparent, and team-oriented with a focus on team empowerment & shared responsibility, flexibility, continuous learning, and a culture of automation.
At the Northrop Grumman San Diego campus our Advanced Digital Design organization creates the next generation of communications and signal processing systems and will take ideas from concept to working systems aboard the world's most advanced platforms. We design in our campus of labs and on-site advanced manufacturing just minutes from the beach and everything for which Southern California is famous.
This is work that matters and a career that can take you places. If you have hands-on experience in FPGA Development with VHDL, apply today.
Roles and Responsibilities:
+ Responsible for research, design, and development for digital designs associated with multi-function software defined radios, as well as automated test equipment
+ Work with evaluation boards to test proposed designs
+ Support the implementation of digital FPGA hardware architecture and algorithms
+ Collaborate with Systems Engineering to ensure firmware design meets system level requirements
+ Review designs and analysis
+ May lead a team of Digital VHDL engineers
This position may be considered at a higher grade based on the requirements below.
**Basic Qualifications Principal Digital Engineer:**
+ 5 years of relevant professional experience with a Bachelor's degree in Computer Science, Computer Engineering, Electrical Engineering or other STEM (Science, Technology, Engineering or Mathematics) discipline; 3 years with Masters; 0 years with a PhD
+ Must have hands on FPGA design experience with VHDL within the past 3 years
+ US Citizenship is required in order to obtain and maintain security clearance
+ **Clearance:** Candidate must currently have, and be able to maintain an active U.S. Government DOD Secret Clearance
**Basic Qualifications Sr. Principal Digital Engineer:**
+ 9 years of relevant professional experience with a Bachelor's degree in Computer Science, Computer Engineering, Electrical Engineering or other STEM (Science, Technology, Engineering or Mathematics) discipline; 7 years with Masters; 4 years with a PhD
+ Must have hands on FPGA design experience with VHDL within the past 3 years
+ US Citizenship is required in order to obtain and maintain security clearance
+ **Clearance:** Candidate must currently have, and be able to maintain an active U.S. Government DOD Secret Clearance
**Preferred Qualifications:**
+ Experience with leading and mentoring a team
+ Experience with DSP, MATLAB, and SimuLink
+ Experience with VHDL design for Software Defined Radios
+ Experience with AMD/Xilinx 7 (Zynq, Kintex and Ultrascale) or Versal family of devices.
+ Experience with Electronic Design Automation (EDA) Tools: Vivado, Quartus, QuestaSim.
+ Knowledgeable in FPGA physical constraints and achieving timing closure.
+ Experience with board or system level debug using test equipment such as oscilloscopes and logic analyzers.
+ Generation of Test Benches and support of formal VHDL Verification
+ **Clearance:** Ability to meet enhanced security review criteria for higher level clearances.
**Salary Range:** $118,000 - $177,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10150557CaliforniaCAPrincipal/Sr. Principal Digital EngineerNone25469216387C4006839F389441A91532http://northropgrumman-veterans.jobs/25469216387C4006839F389441A9153223Cape CanaveralNorthrop GrummanUnited StatesUSA2024-03-28 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Mission Systems (NGMS)** is looking for a **Logistics** **Project Manager 2/3** to join our team in **Cape Canaveral, FL** working with the U.S. Navy. This role will be supporting our Launcher Systems Logistics operations for U.S. Navy OHIO and COLUMBIA class ballistic missile submarine programs.
What You'll Get to Do:
• Develop mechanisms for monitoring project progress and for intervention and problem solving with project managers, field engineers, the Strategic Systems Program office, and prime contractor.
• Serve as logistics liaison between the field engineers, the Strategic Systems Program, and prime contractors at build yards, shipyards, and test facilities.
• Assesses project issues and develop resolutions to meet productivity, quality, and client-satisfaction goals and objectives.
• Coordinate tactical hardware and support equipment deliveries to the active Navy and shipyards.
• Plan and coordinate with shipyards for the receipt, inspection, and storage of launcher hardware, support equipment, and I&C Spares.
• Manage provisioning conferences and formal provisioning.
• Prepare and submit data items in accordance with DoD requirements and contracts.
• Compile data from a variety of sources to develop material requirements and spares quantities.
• Support Launcher Equipment Requirements Chart (LERC) changes and attend meetings. Complete actions items from the LERC as required.
• Support cross decking plan to include the movement, stowage, and re-issue of designated components from the legacy submarines to new construction submarines.
• Monitor supply support effectiveness through document reviews, identify issues and provide recommended solutions.
• Prepare significant data analysis in accordance with DoD requirements and contracts.
This position can be filled at a **Project Manager 2** level or a **Principal Project Manager 3** level.
MANUMS
\#NGFeaturedJobs
**Basic Qualifications for Project Manager:**
• Bachelors Degree with 3+ years of logistics experience or a Masters Degree with 1+ year of logistics experience (Note: in lieu of a Bachelors Degree, we are considering an Associates Degree with 5+ years of experience or a High School Diploma and 7+ years of experience total).
• Prior experience working with cross-functional organizations (Program Office, Engineering, Manufacturing, Purchasing, Business Management, Field Operations, etc.)
• Proficiency with Microsoft Office suite and SAP MRP (or similar) system.
• Must be a US Citizenship with the ability to obtain an interim secret clearance .
**Basic Qualifications for Principal Project Manager:**
• Bachelors Degree with 6+ years of logistics experience or a Masters Degree with 4+ years of logistics experience (Note: in lieu of a Bachelors Degree, we are considering an Associates Degree with 8+ years of logistics experience or a High School Diploma and 10+ years of logistics experience total).
• Prior experience working with cross-functional organizations (Program Office, Engineering, Manufacturing, Purchasing, Business Management, Field Operations, etc.)
• Proficiency with Microsoft Office suite and SAP MRP (or similar) system.
• Must be a US Citizenship with the ability to obtain an interim secret clearance .
**Preferred Qualifications:**
• Experience with Navy Supply system with expertise in a variety of Logistics support functions, including inventory management and provisioning.
• Experience working with the Department of Defense Logistics system.
• Exposure to a variety of Logistics support functions, including inventory management and provisioning.
• Working knowledge of customer compliance documents, government instructions, and government standards.
• Knowledge of government contracts and related documents.
• Experience with MS Project and MS Visio.
• Active Secret Clearance.
**Salary Range:** $62,200 - $93,400
**Salary Range 2:** $76,600 - $115,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Cape Canaveral, FLR10147623FloridaFLProject Management/Principal Project ManagementNone99689FE97C7C462E8AD37648B36D23F7http://northropgrumman-veterans.jobs/99689FE97C7C462E8AD37648B36D23F723New MaldenNorthrop GrummanUnited KingdomGBR2024-03-28 06:15:42**Your Opportunity to Define Possible** **.** **Our Opportunity t** **o Deliver the Nation's Security** **. Together.**
**Salary:** 46,000 - 63,000
**Role Clearance Type:** **You must be able to gain and maintain the relevant UK Government clearance in line with the job role (SC)**
**Location:** Burlington House, New Malden, Kingston-Upon-Thames, London, UK.
**About Your Opportunity:**
A key member of the Hardware Engineering team, this role will work to provide Electrical CAD engineering expertise for maritime control and instrumentation systems throughout the full project lifecycle, from requirements and concept design through to validation and qualification. This will involve the selection, design, development and analysis of electrical systems and components to deliver a Platform Management System (PMS) on a variety of Defence programmes.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible and hybrid working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme -** exceptional performance is recognized through our annual incentive programme which is awarded to top performers who excel
+ **Career Development -** opportunity for ongoing professional development and career growth opportunities
**Your Responsibilities:**
+ Produce electrical schematics using AutoCAD electrical
+ Support the creation and management of Bills of Materials (BOM)
+ Provide electrical engineering support to the Electrical Design Engineering team
+ Develop component libraries to support schematics
+ Review electrical schematics in accordance with relevant standards and internal Computer Aided Design (CAD) processes.
+ Maintain documents and drawings through development and production changes
**Your Experience:**
+ Strong experience in an Electrical CAD position
+ Understanding and working knowledge of electrical related Defence Standards, including Lloyds Register and other Military Standards (e.g. Def Stan 59-411)
+ Ability to work independently on own initiative, as well as within a collaborative team environment
+ Ability to work under pressure in a complex and rapidly changing environment
+ Experience of working within a regulated industry (e.g. automotive, aviation, defence, rail etc.)
+ Experience in the use of Windchill PLM
**Your Future Team:**
"This role is a great fit for a Principal Electrical Engineer looking for further career development opportunities and to work within a design team and support engineers. You'll be working with top talent to contribute to the continued safe deployment of the latest in-service submarines for the Royal Navy. What an opportunity!
**Kenneth Park - Hardware Engineering Manager @ Northrop Grumman UK**
We are an extensively experienced and supportive team working in a matrix structure that promotes collaboration, opportunity for new experiences and learning. We will give you space to develop your career and build on your capability to lead inside and outside a project team.
Diversity is at the heart of our success. Our team share experience, knowledge and new thinking gained from a wide range of backgrounds perspective, culture, gender, race, age and many other elements across several industries. We welcome candidates from all backgrounds and particularly from communities currently under-represented within our industry . We treat everyone with respect and foster safe and inclusive environments.
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And w ithin a respectful and inspirational environment, we value what you say and do.
**How to Apply:**
**Interested in our opportunity?**
**Yes** - then simply submit your application online. Your application will be reviewed by one of our expert recruiters who'll then respond advising you of the outcome and next steps for successful candidates.
**Possibly, I'd like to find out more** - email talentenquiries@uk.ngc.com to connect with one of our Sourcing Specialists who will be happy to support you with any enquires.
Background checks and potentially security clearance form part of the recruitment process, our team will inform you of the procedures when required.
**Northrop Grumman UK:**
Work with a global brand that makes a real contribution to our nation's security and future. At Northrop Grumman UK, the brightest minds come together to push the boundaries and Define Possible. As leaders in the digital transformation of Aerospace, Defence and Intelligence we are providing ground-breaking outcomes for our customers.
**UK Defence Business:**
Our UK Defence business is a Sovereign software and systems centre of excellence. As well as developing and supporting UK wide and internationally deployed multi-domain command and control systems, our work is critical to the modern backbone of the Royal Navy. We pioneer - with fierce curiosity, dedication, and innovation, we seek to solve the world's most challenging problems.
**Find out more** : https://www.northropgrumman.com/careers/job-search-united-kingdom/
\#LI-TP1
**\#LI-Hybrid**
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.New Malden, GBRR10154164Principal Electrical CAD DesignerNoneB5910F1A5DAE4134BC8BD91DC4A404A4http://northropgrumman-veterans.jobs/B5910F1A5DAE4134BC8BD91DC4A404A423CorinneNorthrop GrummanUnited StatesUSA2024-03-28 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is currently seeking a **Program Manager III** on our **Advanced Programs** team at our Propulsion Systems Division in **Promontory Utah** .
**Role Description & Responsibilities:**
The **Program Manager** will be responsible for managing the Advanced Programs Portfolio. This profit and loss Program Manager will lead a segment that consists of more than $100M in annual sales, IR&D, and direct / indirect Intercompany Work Orders (IWO's). The primary objective will be the delivery of all contractual requirements on cost and on schedule, while achieving all technical requirements and creating customer intimacy to support value creation strategies and actions. The individual will lead a cross-functional organization aligned to common program performance goals.
**Job Duties:**
**Program Execution**
+ Provide direct leadership to program managers, proactively strengthening the operating unit through program management standards, business systems and tools. Responsible for oversight of program financial and schedule commitments, quality and customer satisfaction.
+ Accountable for all Business Program & Financial Commitments (Awards, Sales, Profit and Cash).
+ Work with Program Management, IPTs/CAMs, and functional business analysts to perform detailed cost/schedule planning, earned value variance analysis, identification of cost/schedule problems, and developing corrective action plans
+ Maintain current and actionable market-customer-pursuit intelligence that supports the business unit objectives. Provide direct leadership to Proposal/Capture mangers for competitive offerings.
+ Serve as a tiered escalation level for program, customer, and operational issues across the OU
+ Ensuring program team understands and adheres to contract scope, and manages change through control board activities
+ Development and adherence to master plans and schedules
+ Focus on robust and prompt RCCA (execution) investigation and completion across all Site Activities and Home rooms to prevent future repeats of similar/same issues
+ Participate in the negotiation of contracts, contract changes, specifications, operating budgets, schedule milestones, and key terms and conditions.
**Basic Qualifications:**
+ Program management and/or control account management experience in both development and production programs greater than $50M in annual sales
+ People management experience in organization with 50 or more personnel
+ Detailed understanding of Earned Value Management (EVM), program planning and scheduling, estimates at completion (EAC), Cost Accounting Standards (CAS), indirect rates, financial forecasting, and budgeting
+ Proficiency in regularly presenting EAC's and Ops to executive leadership
+ Profit and loss experience in managing AOP/IF/LRSP processes
+ 3 or more years of demonstrated success leading teams/and or organizations to achieve improved profitability
**Experience Requirements:**
+ 10 years' experience
**Education/Certifications:**
+ Bachelors in Engineering or Business
**Preferred Qualifications:**
+ Previous profit and loss responsibility greater than $100M (~$75M) per year
+ Demonstrated successful turn-around of challenging program(s)
+ Demonstrated success in leading an organization with DE&I mindset and initiatives
**PM Competencies** :
+ **Planning, Scheduling & Earned Value:** The ability to initiate, develop, integrate, execute, direct and control program plans and cost and schedule baselines that meet both program contractual requirements and stakeholder expectations.
+ **Business Acumen:** The ability to apply knowledge, insights and understanding of business and financial concepts, tools and processes to the benefit of program decisions, actions, and performance.
+ **Communications:** The ability to manage communications with stakeholders through organized processes to ensure that program information is defined, collected, shared, understood, stored and retrieved in a manner that effectively meets program and stakeholder needs
+ **Issue & Problem Resolution:** The ability to identify and address program impacts through a systematic, proactive, approach to issue and problem resolution that identifies, communicates, monitors, and promptly resolves conflicts across all levels of the program.
+ **Risk & Opportunity:** The ability to address program uncertainty through an organized and analytical forward looking approach that identifies risks and opportunities, determines appropriate handling plans, and manages, controls, and communicates risks and opportunities throughout the lifecycle of the program.
**Salary Range:** $156,000 - $234,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Corinne, UTR10154462UtahUTProgram Manager 3None9377B98CF9154286B936765FB5B23471http://northropgrumman-veterans.jobs/9377B98CF9154286B936765FB5B2347123LinthicumNorthrop GrummanUnited StatesUSA2024-03-28 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is seeking a **Firewall Administrator** or **Principal Firewall Administrator** to support the Manager, Regulatory Compliance. This can be a hybrid position located near the Weapon Systems location in Linthicum, MD to enable firewall administration duties.
The candidate selected will be a key member of the division compliance team regarding the FTC Decision and Order (FTC Order or D&O).
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to deterring and defeating any adversary, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future . #NGSkills
**The individual will:**
+ Collaborate across the enterprise with Contracts and the Law Department to help determine whether firewalls are needed for certain opportunities/programs and develop/implement the firewall requirements, plans and training.
+ Establish and maintain the firewall database to include coordination with the Contracts and Law Department to help ensure compliance with firewall requirements.
+ Demonstrate exceptional analytical skills, be a detail-oriented, strategic thinker, who can confidently interact with and influence all levels of the organization and multiple internal stakeholders.
+ Assist with the execution of the company's FTC Order compliance program, generating reports as required or needed and participating in meetings and briefings, implementing Firewall training activities, and collaborating with the Compliance team.
+ Possess a strong proficiency with Microsoft Office (PowerPoint, Excel, Word) and utilize other systems, databases and tools such as Salesforce, Contract Management System (CMS), NG Firewall Database, SharePoint.
+ Become an LX Administrator to assist with the implementation of LX capabilities.
**Basic Qualifications Firewall Administrator:**
+ This position emphasizes skills and requires a minimum of 7 years of experience. Will consider a Bachelor's degree in appropriate discipline with minimum 3 years of relevant professional experience (Contracts, Legal, or Business) or a Master's degree and 1 year of relevant experience.
+ Strong problem solving and analytical skills; ability to make recommendations and provide guidance to effectively bring projects to completion
+ The use of good judgment, discretion, and uncompromising standards of high quality, integrity, and performance are essential.
+ U.S. citizenship
+ Ability to travel up to 10% of the time.
**Preferred Qualifications Firewall Administrator:**
+ Experience with systems such as Salesforce, Firewall Database, Contract Management System (CMS)
+ Experience with databases, creating and maintaining records.
+ Experience managing & maintaining SharePoint site documents, storage, and collaborative
**Basic Qualifications Principal Firewall Administrator:**
+ This position emphasizes skills and requires a minimum of 10 years of experience. Will consider a Bachelor's degree in appropriate discipline with minimum 6 years of relevant professional experience (Contracts, Legal, or Business) or a Master's degree and 4 years of relevant experience.
+ Strong problem solving and analytical skills; ability to make recommendations and provide guidance to effectively bring projects to completion
+ The use of good judgment, discretion, and uncompromising standards of high quality, integrity, and performance are essential.
+ U.S. citizenship
+ Ability to travel up to 10% of the time.
**Preferred Qualifications Principal Firewall Administrator:**
+ Experience with systems such as Salesforce, Firewall Database, Contract Management System (CMS)
+ Experience with databases, creating and maintaining records.
+ Experience managing & maintaining SharePoint site documents, storage, and collaborative
**Salary Range:** $68,800 - $103,200
**Salary Range 2:** $84,600 - $127,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10154648MarylandMDFirewall Administrator or Principal Firewall AdministratorNoneE0D649B631AC4C0BB0E2FBF64C1E875Ahttp://northropgrumman-veterans.jobs/E0D649B631AC4C0BB0E2FBF64C1E875A23CorinneNorthrop GrummanUnited StatesUSA2024-03-28 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is currently seeking a **Principal Process Engineer** to support our Process Engineering team at our **Promontory, Utah** Plant.
+ Performing cause and corrective action activities to permanently eliminate defects using root cause analysis tools
+ Working with concurrent engineering team to create Build-to-Packages (i.e. engineering and planning documents)
+ Authoring, updating and reviewing shop floor planning
+ Preparing and presenting technical presentations to VSET, leadership, and to the customers for review and approval
+ Performing table top reviews
+ Using SPC tools to ensure process control
+ Developing and incorporating process improvements
+ Troubleshooting production/tooling/facility issues and implementing improvements and solutions
+ Supporting design, checkout, qualification and implementation of new or modified tooling or equipment
+ Troubleshooting production/tooling/facility issues and implementing improvements and solutions
+ Supporting design, checkout, qualification, and implementation of new or modified tooling or equipment
**Basic Qualifications:**
+ Self-Starter that takes initiative to complete tasks and assignments with little supervision
+ Good problem-solving skills and strong oral and written communication skills
+ Good organization and multi-tasking skills
+ Experience in Lean Manufacturing Techniques
+ Basic Computer skills (Word, Excel, PowerPoint)
+ Interpreting blue prints and specifications
+ Experience writing shop planning (preferred)
+ Experience working with energetic materials and processes. (preferred)
+ Strong inter-personal and Customer Service Skills
+ Process Controls (SPC)
**Education/Certifications**
+ B.S. degree in Mechanical, Chemical, or Aerospace Engineering or related engineering degree with 5 years of experience with Bachelor's degree or 3 years of experience with Master degree
**Position may be filled at a higher level requiring:**
+ B.S. degree in Mechanical, Chemical, or Aerospace Engineering or related engineering degree with 9 years of experience with Bachelor's degree or 7 years of experience with Master degree
**Salary Range:** $92,600 - $139,000
**Salary Range 2:** $114,900 - $172,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Corinne, UTR10148644UtahUTPrincipal Process EngineerNone00E6F9F4313548AA8D3F51B226E4E83Fhttp://northropgrumman-veterans.jobs/00E6F9F4313548AA8D3F51B226E4E83F23San DiegoNorthrop GrummanUnited StatesUSA2024-03-28 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Are you ready to start defining possible with Northrop Grumman? If so, our Defense Systems is seeking a highly qualified, mission-oriented NATO AGS Assistant Program Manager to help manage a diverse portfolio of prime international contracts as well as a high visibility Internal Work Order (IWO) for our NATO AGS Sustainment Organization. You will assist in directing all aspects of the programs associated with planning, implementation, contracting, and execution of the program across Integrated Product Teams and functions. You will also interact with customers, subcontractors, and other stakeholders as the focal point NATO AGS International program activities as well as, manage risks and opportunities to ensure timely execution of efforts to support the GATS Operating Unit.
You will direct all phases of programs from inception through completion. You are responsible for the cost, schedule and technical performance of company programs or subsystems of major programs. You will participate in the negotiation of contract and contract changes. Coordinate the preparation of proposals, business plans, proposal work statements and specifications, operating budgets and financial terms/conditions of contract. You will act as primary customer contact for program activities, leading program review sessions with customers to discuss cost, schedule, and technical performance. You will establish design concepts, criteria and engineering efforts for product research, development, integration and test. You will also develop new business or expand the product line with the customer. Establish milestones and monitors adherence to master plans and schedules, identify program problems and obtain solutions, such as allocation of resources or changing contractual specifications. You will direct the work of employees assigned to the program from technical, manufacturing and administrative areas.
Your primary objective will be to provide leadership to a multi-disciplinary team to accomplish the delivery of all contractual requirement on cost and on schedule, while achieving all technical requirements. You are also responsible for coordination and management of all phases of execution, from inception (proposal development) through completion (contract closeout).
Responsibilities will include but are not limited to:
+ Adherence to all internal processes for Program Management.
+ Direct the work of employees assigned to the program from technical, manufacturing and administrative areas.
+ Development and adherence to Integrated Master Schedules (IMS).
+ Development and adherence to budget baselines utilizing Earned Value Management (EVM) methodologies and tools.
+ Coordination of Change Board activities internally and with the customer, to include Engineering Change Proposals (ECP).
+ Development and delivery of presentations to customers, management, and other program stakeholders.
+ Knowledge and execution of a variety of proposal and contract types (CPFF, FFP).
+ Proposal development / capture planning and strategy.
+ Creation, review and finalization of the program Statement of Work.
+ Negotiation and establishment of schedule milestones, payment, and other key contract terms and conditions.
+ Development of customer relationships and cultivation of customer intimacy.
+ Ability to comprehend and analyze complex problems and develop solutions.
+ Ability to prioritize and adjust tasks to accomplish the project result.
+ Ability to demonstrate success in leading and developing cross functional teams.
+ Strong organizational and interpersonal skills to communicate effectively with all levels of management and individual contributors.
+ Strong communication, team building and facilitation skills; demonstrated ability to communicate effectively with team, upper management and customers.
+ Demonstrate understanding of program risk, opportunity and issue management process.
+ Strong skills in Microsoft Office programs including Word, Excel, MS Project, and PowerPoint.
NG Skills
**Basic Qualifications:**
+ 11 years of relevant program/project management experience with a high school diploma or equivalent and 3 years of leadership experience; or Bachelor's Degree with a minimum of 7 years of program/project management experience and 3 years of leadership experience; or Master's Degree with a minimum of 3 years program/project management experience and 5 years of leadership experience.
+ Strong skills in Microsoft Office programs including Word, Excel, MS Project, and PowerPoint.
+ Current DoD Secret clearance.
+ Ability to be obtain and maintain Special Program access.
+ Direct Commercial Sale or Foreign Military Sales experience.
+ Willing and able to travel up to 25%
**Preferred Qualifications:**
+ Global Hawk or Triton Program experience with prior Engineering/IFC Program Management experience.
+ New business development/capture and/or proposal development experience.
**Salary Range:** $151,900 - $227,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10154588CaliforniaCANATO Program Manager 2 - Secret ClearanceNone0C8CF6F7CA6D4106A7B3F6406DDCBEA5http://northropgrumman-veterans.jobs/0C8CF6F7CA6D4106A7B3F6406DDCBEA523IrvingNorthrop GrummanUnited StatesUSA2024-03-28 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is seeking a motivated professional for an Accountant. This position reports directly to the Manager of General Accounting. This role can report into our Irving, Texas Bloomington, MN office. This is no option for full time telecommuting in this role.
Roles and responsibilities of the accountant will include (but not be limited to) the following:
+ Preparing monthly and quarterly account reconciliations per corporate policy.
+ Conducting corrections needed to the general ledger on issues identified through the account reconciliations.
+ Responding to internal/external inquiries, for Tax & Audit.
+ Preparing annual Tax and Audit schedules.
+ Preparing and reviewing trial balance data monthly.
+ Developing good working relationship with business units to understand their financial practices.
+ Identifying and assisting in implementing process improvements.
+ Preparing journal entries and ensure they are made in accordance with GAAP and have proper supporting documentation.
+ Communicating with business units on additions/changes to journal entries. (Recurring, reclassification, manual, allocations, accruals, statistical, automated, etc.).
+ Fixed Asset accounting including processing monthly depreciation, maintaining roll-forwards for PP&E, and loading PP&E data into the consolidation tool.
+ ERP implementations and special projects.
+ Special projects (as assigned).
**Basic Qualifications:**
+ Bachelor's degree in Accounting, Finance or related discipline with a minimum 3 years accounting or finance work experience.
+ Understanding of accounting principles, practices and standards.
+ Candidates must have experience with journal entries and accountant reconciliations.
+ Strong MS Office (Outlook, PowerPoint, Excel, Word) skills.
**Preferred Qualifications:**
+ Working knowledge of Costpoint.
+ Experience with Blackline.
+ Strong attention to detail.
+ Ability to handle multiple assignments and meet tight deadlines
**Salary Range:** $62,600 - $93,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Irving, TXR10152321TexasTXAccountant-2 Irving (TX) and Bloomington ( MN)None7A4CF3CD02E24856B9EB2DD680BFB41Ehttp://northropgrumman-veterans.jobs/7A4CF3CD02E24856B9EB2DD680BFB41E23San DiegoNorthrop GrummanUnited StatesUSA2024-03-28 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
Northrop Grumman Defense Systems has an immediate opening for an **Administrative Assistant** **3** on the **NATO AGS Program** . This position will support the AGS Portfolio Manager and their staff to support meeting overall business objectives.
As an administrative assistant, perform advanced office functions such as setting up and executing virtual and in-person meetings and training sessions, project coordination, managing records, paying of bills and conflict resolution for leadership scheduling requirements. Reviews drafts and finished documents for appropriate grammatical usage; answers questions relating to office operations and established policies and procedures. Prepares report drafts and meeting minutes as needed. Make travel arrangements and process expense reports in a timely manner utilizing corporate web-based tools. Work with customers, executive leaders and other administrative personnel within the division, business unit and business management function in support of projects, conference/event planning, and tasking as required.
**Basic Qualifications:**
+ High School Diploma with a minimum of 4 years additional education and/or professional relevant experience.
+ Strong proficiency in Microsoft Office Suite (Outlook, Word, Excel, and PowerPoint).
+ Intermediate proficiency in video conferencing tools (Teams, Zoom, etc.)
+ Experience with travel booking and expense report tools (Concur or similar).
+ Prior experience managing calendars and coordinating meetings/events.
+ Excellent organizational skills and ability to manage multiple tasks.
+ Experience planning and executing virtual and on-site events.
+ Project coordination for administrative office initiatives.
+ Must have the ability to obtain and maintain **DoD Secret Clearance.**
**Preferred Qualifications:**
+ Active DOD Secret security clearance, or higher.
+ Knowledge of Northrop Grumman policies and procedures.
+ Experience as an administrative assistant supporting Director-level leadership.
+ Experience supporting and interfacing with Military & Government officials/executives .
**Salary Range:** $53,000 - $88,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10154603CaliforniaCANATO Administrative Assistant 3 - Ability to Obtain Secret ClearanceNoneA8137A533EB84739A8BB249162E78E8Fhttp://northropgrumman-veterans.jobs/A8137A533EB84739A8BB249162E78E8F23PalmdaleNorthrop GrummanUnited StatesUSA2024-03-28 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is seeking a **Principal Program Planning Scheduling Analyst (Level 3)** to join our team of qualified, diverse individuals. This position is located in **Palmdale, CA** and will be **on-site every day.**
**Essential Functions:**
+ Prepares, develops and coordinates the integrated master plan and integrated master schedule to meet all program objectives
+ Ensures major projects and program schedules and plans are horizontally and vertically integrated across company functional groups including finance, engineering, material, manufacturing, and quality
+ Tracks plans and schedules, performs risk analysis, identifies and resolves critical path and network logic conflicts
+ Utilizes Gantt, PERT, milestone charts, earned value management and other project management techniques to gauge progress and identify performance variances to facilitate focus and intervention on critical areas
+ Ability to work the 9/80 or 4/10 schedule
**Basic Qualifications:**
+ Bachelor's degree with 5 years of Program/Project Management, Earned Value Management, Business, Cost, and/or Finance experience -OR- a Master's degree with 3 years of stated experience -OR- 9 years of stated experience in lieu of degree
+ Proficiency in Microsoft Office Suite
+ Schedule Management and MS Project Experience
+ **Active DOD Secret Clearance,** with investigation completed within the last 5 years, as well as ability to obtain a Program Special access within a reasonable period of time, as determined by the company to meet its business needs. (Special Program access will be required to start)
+ Requires the ability to work on-site in Palmdale, CA
**Preferred Qualifications:**
+ Aerospace/Defense Industry Experience
+ Excel Macro/VBA Skills
+ Experience working in Microsoft Project Server Environment
+ Experience in Deltek Cobra
+ Experience in Deltek Acumen Risk
+ Knowledge of DCMA health metrics
+ Special Program Access
We offer flexible work arrangements, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees!
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, **a 9/80 schedule or 4/10 schedule** , and a great 401K matching program.
**Salary Range:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10154460CaliforniaCAPrincipal Program Planning and Scheduling Analyst (Secret Clearance Required)NoneF66F95A5AC5847598F815D6E4DE075B0http://northropgrumman-veterans.jobs/F66F95A5AC5847598F815D6E4DE075B023BaltimoreNorthrop GrummanUnited StatesUSA2024-03-28 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a well-qualified Lead Windows Administrator to join its dynamic team of technical professionals in Linthicum, MD. Please note that due to the classified nature of this position, the selected candidate will be required to work on-site, full-time, at our Linthicum, MD campus, and that this is not a remote position. Roles and responsibilities will include but not be limited to the following:
+ Perform as primary Lead Windows Systems Administrator for a large, classified government contract(s).
+ Maintain efficient operations of the entire IT infrastructure including severs, desktop, and networking equipment.
+ Communicate effectively with all stakeholders in written and verbal formats.
+ Ensure necessary IT projects are completed independently or assigned to others as necessary.
+ Obtain and analyze internal and external customer requirements and recommend equipment and software as needed.
+ Implement system enhancements that will improve the performance, reliability, and security of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Manage backup infrastructure then provide backup and recovery services.
+ Manage virus protection on a routine basis as directed by policy.
+ Maintain security audit and logging information on all classified networked and standalone computers as directed by the Cyber Security team.
+ Create and maintain user and computer accounts and modify file permissions as directed by policy.
+ Develop and maintain technical processes and procedures as needed.
**Basic Qualifications:**
+ Associate's degree with 11 years of experience, or a Bachelor's degree with 9 years of experience, or a Master's degree with 7 years of experience, or a PhD with 4 years of experience; a High School diploma or equivalent with 13 years of experience may be considered in lieu of a completed degree.
+ Experience operating under and managing systems within current applicable information systems compliance standards.
Technical Abilities:
Experience maintaining Classified Windows Domains in effective operations to include advanced knowledge of the following:
+ Active Directory (AD) deployment, maintenance, and support.
+ Group Policy (GPO).
+ DNS.
+ DHCP and scopes.
+ Windows Server Update Servers (WSUS), SCCM, or similar update service.
+ Certificate Authority (CA) server.
+ Supporting license managers.
+ Supporting golden image creation, deployment, and underlying infrastructure.
+ Experience deploying vulnerability scanning tools and remediation.
Clearance and Access:
+ Candidates must have a current DoD Secret level security clearance (at a minimum), to include a closed investigation date completed within the last 6 years, or must be enrolled in the DoD Continuous Evaluation Program (CEP), in order to be considered; the required security clearance must be maintained as a condition of continued employment.
+ The selected candidate will be required to obtain and maintain a Special Access Program (SAP/SAR) clearance as a condition of continued employment.
Non-Technical Abilities and Certifications:
+ Currently valid Security+ CE, CASP, CISSP, or similar DoD 8570 security certification required on Day 1; certification must be maintained as a condition of continued employment.
+ Experience leading a technical team of IT professionals.
+ Experience mentoring junior level IT professionals.
+ Experience giving technical presentation to technical and non-technical attendees.
+ Experience providing surge support to other programs as needed.
+ Active vendor-based IT certification.
+ Ability to travel as needed.
+ Ability to lift equipment weighing up to 40 pounds.
+ Ability to work after hours and weekends as needed.
**Preferred Qualifications:**
+ Experience with VMWare implementation, migration, and maintenance.
+ Experience with NetApp and/or Nutanix implementation, migration, and maintenance.
+ Experience with system design and architecture.
+ Experience with communicating with executive leadership.
**Salary Range:** $115,400 - $173,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10154563MarylandMDSr. Principal Windows Systems AdministratorNone54E8FD940E9147758F44744A3D9A818Ahttp://northropgrumman-veterans.jobs/54E8FD940E9147758F44744A3D9A818A23SunnyvaleNorthrop GrummanUnited StatesUSA2024-03-28 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems (NGMS) is looking for you to join our team as a **Manufacturing Engineer/ Principal Manufacturing Engineer** based out of **Sunnyvale, CA.** You will support the manufacturing and testing of marine propulsion and power generations systems working on various manufacturing processes for high-value gearing and steam turbine assemblies, machined components, and welded fabrications. These processes include precision manual assembly, welding, machining, bonding, finishing and mechanical assembly.
**What You'll get to Do:**
+ Develop new processes, improve existing manufacturing processes, and develop the required tooling and fixtures with an excellent team of experienced assembly mechanics, machinists, welders and engineers.
+ Evaluate existing manufacturing processes and systems to reduce cost, improve sustainability and develop best practices within the manufacturing environment.
+ Develop efficient process flows and sequences of operations, optimized production area layouts, manage bills of materials, create detailed work instructions, process procedures and tooling requirements with emphasis on safety, foreign object elimination and equipment/system maintenance.
+ Support continuous improvement initiatives and implementation of new capital equipment for establishing expanded production processes.
+ Select roles will also support final acceptance testing of product and provide technical support to test facility operation as necessary.
+ The ability to support multiple production shifts is required (first, second, third shifts, and weekends) based on the assignment and current and future production schedules.
MANUMS
SNYVLC
DIVSE
**Basic Qualifications Manufacturing Engineer :**
+ Bachelor's in a STEM discipline (Science, Technology Engineering or Math) with 2+ years of relevant work experience; Master's Degree in a STEM discipline with 0 years of experience.
+ Ability to read and interpret engineering drawings.
+ Exposure or specialization in all of the following: mechanical assembly processes and welding.
+ Experience in continuous improvement.
+ Experience in technical writing.
+ Ability to obtain Secret Clearance which requires US Citizenship.
This position can be filled at a Manufacturing Engineer level or a Principal Manufacturing Engineer level.
**Basic Qualifications Principal Manufacturing Engineer:**
+ Bachelor's in a STEM discipline (Science, Technology Engineering or Math) with 5+ years of relevant work experience; Master's Degree in a STEM discipline with 3 years of experience.
+ Ability to read and interpret engineering drawings.
+ Exposure or specialization in all of the following: mechanical assembly processes and welding.
+ Experience in continuous improvement.
+ Experience in technical writing.
+ Ability to obtain Secret Clearance which requires US Citizenship.
**Salary Range:** $79,300 - $118,900
**Salary Range 2:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Sunnyvale, CAR10154479CaliforniaCAManufacturing Engineer/ Principal Manufacturing EngineerNone371DEF38545943D2B1D278969276C220http://northropgrumman-veterans.jobs/371DEF38545943D2B1D278969276C22023FairfaxNorthrop GrummanUnited StatesUSA2024-03-28 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they are making history.
The **Payload and Ground Systems** organization within the **Northrop Grumman Space Systems** pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, and innovative technologies to develop, design, produce, and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today. #definingpossible
Northrop Grumman is looking for a Staff Software Engineer to join the program supporting the organization as the SW Lead. **This position can be filled from either Fairfax, VA, Morrisville, NC, Linthicum, MD, Aurora, CO or Redondo Beach, CA.**
**What You'll Get To Do:**
+ Technical leader and focal point for the software engineering development team - ensuring software is well architected, designed, developed, and implemented
+ Perform hands-on development and actively contribute to the code base including peer review of code
+ Collaborate with Systems Engineering, Integration, and Test and Infrastructure (including cyber) teams throughout the software development life cycle
+ Diplomatically interface with all levels of management, employees, internal and external customers, and vendors
+ Support the Delivery Lead/Project Manager in developing project roadmaps, understanding delivery time scales, and communicating these to the customer
+ Liaison with the Product Owner to manage the product road map and communicating the impact of changing customer requirements
+ Identifying technical risks/opportunities and proactively developing plans to mitigate and release them
**Basic Qualifications:**
+ Experienced Java/C++ programmer
+ Familiarity with developing and deploying software into a Red Hat Linux environment of the above languages
+ Experienced with service-oriented architecture
+ Experience with Ground architectures, cloud-based and bare metal environments
+ Experience with DevSecOps environments in CI/CD pipelines
+ Automated Deployment: Jenkins, Docker, OpenShift or Kubernetes
+ Build and CI/CD Orchestration (e.g., Maven)
+ Code Quality/Review (e.g., SonarQube, Crucible)
+ Security (e.g., Keycloak, Twistlock, Achore)
+ Testing Technologies: Cypress, Selenium or Cucumber
+ Utilities (e.g., Minio)
+ Experience with Database Technologies: SQL, Elasticsearch or MongoDB
+ Experience working on Agile programs and methodology
+ Familiarity with Model Based System Engineering
+ Experience with the Atlassian tool suite (Jira, Confluence, Bitbucket)
+ Experience with Ground Segment
+ Experience leading a team of software engineers in software engineering deliverables and processes
+ Proactive, highly motivated, and adaptable, with excellent organizational skills including the ability to juggle multiple tasks, changing needs, and competing priorities. Individual must be able to complete a wide variety of tasks with minimal supervision
+ Excellent leadership and interpersonal communications skills, with the ability to interface with all levels of employees and management
+ TS/SCI and willingness to sit for polygraph
+ Able to work in a dynamic, in office environment
**Preferred Qualifications:**
+ Experience with design and development of national security space or commercial space, interfaces, and ground systems architecture and/or integration of multiple IPTs is strongly preferred.
+ Experience working in a defense customer and aerospace industry firm.
+ Configuration management and document management
+ Experience with Northrop Grumman processes and procedures.
+ Ability to identify process bottlenecks and implement Process improvement with multiple stakeholder
**Salary Range:** $150,000 - $247,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fairfax, VAR10154503VirginiaVAStaff Engineer Software (SW Lead)None6EB1929E152E47A7A0B5D4D065D28023http://northropgrumman-veterans.jobs/6EB1929E152E47A7A0B5D4D065D2802323Redondo BeachNorthrop GrummanUnited StatesUSA2024-03-28 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Description**
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
Northrop Grumman Aeronautics Systems is currently seeking an experienced Senior Principal DevOps Engineer to join our team of qualified, diverse individuals. This position will be located in Redondo Beach, CA.
**Essential Functions:**
+ Responsible for planning and evolving processes and infrastructure to execute programs supporting rapid capability maturation and deployment.
+ Integrates development pipelines leveraging automation with test-driven development tools and continuous integration/test frameworks.
+ Define and implement the build, deployment, provisioning, and monitoring standards for the program.
+ Ensures implementation of Software Configuration Management (SCM), code quality, and code coverage with automated testing for Continuous Integration/Continuous Delivery (CI/CD) pipelines.
+ Work as part of an Agile development team to deliver end-end automation of deployment, monitoring, and infrastructure management framework.
+ Build and configure delivery environments supporting CD/CI tools using an Agile delivery methodology.
+ Create scripts and/or templates to automate infrastructure provisioning and management tasks.
+ Work closely with development teams to create an automated continuous integration (CI) and continuous delivery (CD) system.
+ Work together with vendors and other IT personnel for problem resolution
+ Monitor and support all installed systems and infrastructure.
+ Develop custom scripts to increase system efficiency and lower the human intervention time on any tasks.
+ Contribute to the design of information and operational support systems.
+ Install, configure, test and maintain operating systems, application software and system management tools.
+ Oversee the company's security, backup, and redundancy strategies.
+ Evaluate application performance, identify potential bottlenecks, develop solutions, and implement them with the help of developers.
+ This position can be filled at either a Principal DevOps or a Senior Principal Software Engineer.
**Basic Qualifications:**
+ Candidate must possess an Active DoD Secret Clearance to be considered.
+ Bachelor's degree in STEM with 9+ years of software development experience; or 7+ YOE with a Master's; or 4+ YOE with a PhD
+ Has a strong understanding of DevSecOps practices and methodologies
+ Experience in scripting languages Python and Bash/Shell
+ Experience in using automation tools like Jenkins for CI/CD
+ Experience in repository/artifact management and build integration support (Bitbucket, Nexus Repo)
**Preferred Qualifications:**
+ Experience with Agile software development framework.
+ Experience in building databases with MySQL or any similar RDBMS
+ Experience with the Atlassian tool suite (Bitbucket, Jira, and Confluence)
+ Administration experience of Linux and Windows Operating Systems
+ Experience of administering virtualized or containerized systems in VMWare and Docker
+ Working practices, such as Git Branching and release schedules
+ Experience of designing, deploying, and troubleshooting networking
+ Experience of secure network architecture design
+ Excellent communication, interpersonal skills, and the ability to interface with all levels of employees and management.
+ Security+ or any related credentials to obtain elevated account
**Salary Range:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Redondo Beach, CAR10154415CaliforniaCASr Principal Engineer SoftwareNoneEA65B2F9569C46B08B6D04B684EA75BEhttp://northropgrumman-veterans.jobs/EA65B2F9569C46B08B6D04B684EA75BE23BaltimoreNorthrop GrummanUnited StatesUSA2024-03-28 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Specifically, Northrop Grumman Mission System's Engineering and Sciences (E&S) supporting the Airborne Multifunction Sensors Division is seeking an Engineering Functional Director in our BWI facility in Baltimore, MD.
This Engineering Functional Director, designated an Engineering Execution Lead, is the primary E&S interface to an Airborne Multifunction Capabilities (AMC) Business Unit (BU) in the Airborne Multifunction Sensors (AMS) division. The role serves as the business-facing lead for all functions required to execute the day-to-day engineering activities for the Operating Units, programs, and product lines owned by an AMC BU. The Execution Lead is accountable for engineering cost, schedule, and technical performance for over 300 engineers of all disciplines that primarily support programs or product lines within the AMC BU.
The role requires a focus on performance, people leadership, and business growth. The candidate must have a broad skill set that is balanced between technical, interpersonal, and business capabilities. The selected candidate will need to operate independently based on strategic objectives, work in a team environment, and collaborate across the sector and corporation as required to accomplish the team goals. Responsibilities include, but are not limited to:
+ **Engineering Execution:** Accountable for Engineering cost, schedule, and technical performance through oversight of Engineering Reviews, Root Cause and Corrective Actions (RCCAs), Engineering metrics, audits, and general program execution.
+ **Business Development:** Functional oversight of bid and proposal inputs for future work, independent technical reviews of major programs and Research and Development (R&D) projects.
+ **Hiring and Staffing:** Support hiring goals through network events, interviewing, and intern/Pathway placements. Understanding workforce management tool (currently WLF) to make certain programs are staffed as effectively and efficiently as possible. Be creative in solutions such as engaging remote site and using contract labor.
+ **Talent Management:** Identify skills mix based on business needs and forecast needs for experienced hires, college graduates and interns. Build and execute strategy for full life-cycle talent management; hire, retain, deploy and develop diverse teams.
+ **Budget Management:** Manage indirect spending to meet overhead ratio and utilization goals.
+ **Workforce Engagement and Knowledge Building:** Build diverse and inclusive teams with high levels of engagement through knowledge sharing, mentoring and coaching. Encourage pervasive knowledge base building that supports new hire acclimation and succession needs.
+ **Digital Transformation:** Initiate and implement initiatives to improve processes and performance using new tools and best practices.
The successful candidate will be able to demonstrate success in applying leadership characteristics to achieve sustainable top performance, a commitment to ethics and integrity, and the capability to innovate while challenging traditional ways of doing business. In this role, the ideal candidate will possess the ability to balance programmatic needs to deliver results within cost, schedule, and quality parameters while encouraging and rewarding innovative behaviors through all levels of the organization. Excellent verbal and written communication skills are required. The ideal candidate will have demonstrated success in influencing the direction of technology discriminators, including identifying and championing change within the organization, to achieve competitive advantage for the business.
This candidate must demonstrate the ability to articulate a value proposition for a matrix organization in a company with size and mission diversity of NGC.
Some limited travel may be required.
**Basic Qualifications:**
+ Bachelor's degree with 10 years of experience, a Master's degree with 8 years of experience, or a PhD with 6 years of experience in Science, Technology, Engineering, Mathematics, or related technical fields
+ Experience must include any combination of Systems, Software, Hardware, Digital, or Cyber engineering disciplines within the defense or aerospace industries
+ Experience must include a high proficiency in technical problem solving to include decomposition, root cause analysis, solution development, implementation and monitoring, as well as experience in multiple program phases
+ U.S. Citizenship
+ Active DoD Secret Clearance is required with the ability to obtain and maintain a TS/SCI and Special Access Clearance (SAP)
+ A minimum of 5 years demonstrated success managing people and technical leadership roles effectively leading large, diverse technical teams
+ Demonstrated knowledge of hiring, staffing, engineering metrics and training resources, processes, and tools
+ Proven technical management experience preferably in either program profit and loss or integrated product team
+ Solid understanding of engineering process with execution experience, including working familiarity with ISO 9001, AS9100, and CMMI
**Preferred Qualifications:**
+ Advanced degrees in Science, Technology, Engineering, Mathematics or related technical fields
+ Active TS/SCI Clearance
+ Program management experience, with profit and loss accountability, will be a strong consideration
+ Experience working on a program in the AMC BU
+ Experience leading large functional teams across multiple locations
+ Experience with product line management and product line engineering
+ Proven success in creating and executing affordability initiatives
This position is contingent upon the successful transfer of an active DoD Secret Clearance with the ability to obtain Special Program Access prior to start.
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
**Salary Range:** $181,900 - $272,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10148226MarylandMDDirector of Engineering 1 - Airborne Multifunction CapabilitiesNoneF7A3E5FD85534F01885860932DB6C93Dhttp://northropgrumman-veterans.jobs/F7A3E5FD85534F01885860932DB6C93D23BaltimoreNorthrop GrummanUnited StatesUSA2024-03-28 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems (NGMS) located in Baltimore, MD is seeking a motivated leader (Systems Engineer Manager 1) to be responsible for leading a cross functional technical team supporting Systems, Software, Hardware, and Firmware Design Engineers with an anticipated team size of approximately 8 engineers. This manager is also be expected to contribute to the team as an individual contributor working on programs (direct charge). This direct program work may include technical work, project management, or related work supporting programs. The split between functional management duties and program duties will be approximately 10/90, on average.
This position is located in Baltimore, MD and is required to be an onsite role.
The manager will report to the Senior Manager of the Data Interface Collection and Emulation (DICE) Systems group under the HW Synergy department within Engineering Synergy.
**Roles and responsibilities**
+ The position is a dual-role position: Functional Management and Program or Technical Leadership.
+ Support senior manager in executing the strategy to motivate and to ensure a high performing engineering team. This includes use of Development Plans, stretch assignments, 1:1 feedback, coaching, training and other tools.
+ People leadership for an engineering design organization, to include performance management, employee engagement and recognition.
+ Manage the workload of the employees and balance employee needs with business goals.
+ Maintains assigned Northrop Grumman engineering processes, Continues Improvement initiatives and drives technical excellence by ensuring team output meets technical standards.
+ Apply sound engineering principles and ensures compliance with all command media processes and standards in our technical solutions.
+ Provide proposal inputs and review proposal content for both internal and external customers.
**Basic Qualifications:**
+ Minimum 5 years of related engineering experience with Bachelors degree, or minimum 3 years of related experience with a Masters degree.
+ Strong problem-solving and analytical skills.
+ Able to work well within a team environment and adapt quickly to change.
+ Project management skills and a proven ability to follow through with commitments.
+ Strong leadership qualities, including excellent communication and collaboration, team engagement, and organizational skills.
+ Basic understanding of the Design, Manufacturing and Test Integration process.
+ Ability to get and maintain a Secret Clearance
**Preferred Qualifications:**
+ Able to work in a fast-paced environment where multiple projects are being developed and deployed simultaneously.
+ Previous functional management experience leading a team of Design or Systems engineers for at least 2 years or more.
+ Experience as a Technical Lead, Systems Engineering, Project manager, or other demonstrated leadership role related to program execution.
+ Knowledge of the Agile process.
**Salary Range:** $120,800 - $181,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10154584MarylandMDManager Systems Engineering 1None5A7BF3F99F27418F9F9BE7A0DE5B98A3http://northropgrumman-veterans.jobs/5A7BF3F99F27418F9F9BE7A0DE5B98A323New MaldenNorthrop GrummanUnited KingdomGBR2024-03-28 06:15:37**Your Opportunity to Define Possible** **.** **Our Opportunity t** **o Deliver the Nation's Security** **. Together.**
**Salary:** 46,000 - 63,000
**Role Clearance Type:** **You must be able to gain and maintain the relevant UK Government clearance in line with the job role (SC).**
**Location:** Burlington House, New Malden, Kingston-Upon-Thames, London, UK.
**About Your Opportunity:**
A key member of the Hardware Engineering team, this role will work to provide Mechanical CAD engineering expertise for maritime control and instrumentation systems throughout the full project lifecycle, from requirements and concept design through to validation and qualification. This will involve the selection, design, development and analysis of electrical systems and components to deliver a Platform Management System (PMS) on a variety of Defence programmes.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible and hybrid working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme -** exceptional performance is recognized through our annual incentive programme which is awarded to top performers who excel
+ **Career Development -** opportunity for ongoing professional development and career growth opportunities
**Your Responsibilities:**
+ Produce and review mechanical piece part drawings and General Assemblies (GA) using Creo Elements
+ Support the creation and management of Bills of Materials (BOM)
+ Provide mechanical engineering support to the Mechanical Design Engineering team
+ Define and apply appropriate Geometric, Dimensioning and Tolerancing (GD&T) to mechanical designs
+ Define and apply appropriate standards, materials and finishes in accordance with project requirements
+ Maintain documents and drawings through development and production changes
**Your Experience:**
+ Proficient user of Creo Elements
+ Strong knowledge of GD*T and BS 8888
+ Strong knowledge of manufacturing techniques
+ Strong experience in an Mechanical CAD position
+ Ability to work independently on own initiative, as well as within a collaborative team environment
+ Experience of working within a regulated industry (e.g. automotive, aviation, defence, rail etc.)
+ Experience in the use of Windchill PLM
**Your Future Team:**
"This role is a great fit for a Principal Electrical Engineer looking for further career development opportunities and to work within a design team and support engineers. You'll be working with top talent to contribute to the continued safe deployment of the latest in-service submarines for the Royal Navy. What an opportunity!
**Kenneth Park - Hardware Engineering Manager @ Northrop Grumman UK**
We are an extensively experienced and supportive team working in a matrix structure that promotes collaboration, opportunity for new experiences and learning. We will give you space to develop your career and build on your capability to lead inside and outside a project team.
Diversity is at the heart of our success. Our team share experience, knowledge and new thinking gained from a wide range of backgrounds perspective, culture, gender, race, age and many other elements across several industries. We welcome candidates from all backgrounds and particularly from communities currently under-represented within our industry . We treat everyone with respect and foster safe and inclusive environments.
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And w ithin a respectful and inspirational environment, we value what you say and do.
**How to Apply:**
**Interested in our opportunity?**
**Yes** - then simply submit your application online. Your application will be reviewed by one of our expert recruiters who'll then respond advising you of the outcome and next steps for successful candidates.
**Possibly, I'd like to find out more** -
email talentenquiries@uk.ngc.com to connect with one of our Sourcing Specialists who will be happy to support you with any enquires.
Background checks and potentially security clearance form part of the recruitment process, our team will inform you of the procedures when required.
**Northrop Grumman UK:**
Work with a global brand that makes a real contribution to our nation's security and future. At Northrop Grumman UK, the brightest minds come together to push the boundaries and Define Possible. As leaders in the digital transformation of Aerospace, Defence and Intelligence we are providing ground-breaking outcomes for our customers.
**UK Defence Business:**
Our UK Defence business is a Sovereign software and systems centre of excellence. As well as developing and supporting UK wide and internationally deployed multi-domain command and control systems, our work is critical to the modern backbone of the Royal Navy. We pioneer - with fierce curiosity, dedication, and innovation, we seek to solve the world's most challenging problems.
**Find out more** : https://www.northropgrumman.com/careers/job-search-united-kingdom/
\#LI-TP1
**\#LI-Hybrid**
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.New Malden, GBRR10154163Principal Mechanical CAD EngineerNoneCEC0174D1BCB4747B39829645DCCCF59http://northropgrumman-veterans.jobs/CEC0174D1BCB4747B39829645DCCCF5923BeaufortNorthrop GrummanUnited StatesUSA2024-03-28 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Are you ready to put your experience to work at Northrop Grumman? If so, our Defense Systems F-35 Sustainment HPSI Operating Unit, wants YOU to join our Team as a **Aircraft Mechanic 4** and join our team of qualified, diverse individuals. You will be assigned as a **Aircraft Mechanic 4- Flight Equipment** supporting F-35 organizational maintenance at **MCAS Beaufort** . Your Mission will be to support O-Level maintenance on F-35.
In this position you will be subject to work first, second, third, or weekend shift , as directed by the Aircraft Maintenance Manager. You must be able to depart on temporary duty assignments- on short notice . You will practice good housekeeping and follow safety procedures and ensure both programs are enforced.
**Your duties and responsibilities include (but are not limited to)** :
+ Perform scheduled and unscheduled maintenance support of F-35 aircrew survival equipment.
+ Perform disassembling, repairing, testing, troubleshooting, examining, fitting, modifying, maintaining, installing, and determining serviceability of aircraft survival and flight equipment such as helmets, torso harness assemblies, preservers, parachutes, life rafts, chemical and biological protective devices, survival kits, oxygen masks, and anti-G-suits in accordance with JTD and all applicable program and service guidance.
+ Perform and/or assist with Field-Level Modifications and TCTD maintenance actions.
+ Assist with OJT training for team members and partner flight equipment maintenance personnel.
+ Maintain and updates aircraft records and status within the Computerized Maintenance Management System (CMMS) of ALIS and Aviation Life Support System (ALSS) maintenance logbooks, as required.
+ Keep the production staff updated on aircrew gear status.
+ Perform other duties as assigned by the Aircraft Maintenance Manager.
+ Perform required Airframe and Power Plant General (APG) / Flight Equipment tasks as part of F-35 Contractor Logistics Support (CLS) performing organizational-level maintenance/modifications.
+ You will use your training, knowledge, and experience to obtain special certifications (example: QASO, etc.) as locally required.
Maintenance tasks include :
+ Performing modifications, removal, and installation of attaching hardware, component removal and installations, explosive handling, preservation/de-preservation, various system operational checkouts, and egress system maintenance.
Technical requirements include :
+ Fault isolation, troubleshooting, and repair of system failures detected during operational checks associated with modifications and restoration of equipment to a serviceable condition after accomplishment of modifications and maintenance. Interprets and uses various types of test equipment and works from diagrams, engineering drawings, job guides, and maintenance manuals.
**Basic Qualifications :**
+ Must have a high school diploma or equivalent and a minimum of 6 years of mechanical/aircraft airframe/power plants/turboprop/egress/avionics experience.
+ U.S. citizenship required.
+ A current DoD Secret Clearance (or higher).
+ Ability to obtain Special Access Program clearance, as required.
+ Ability to obtain Collateral Duty Inspector Qualification within 18 months of position start date.
+ Ability to read and interpret technical data and blueprint drawings.
+ Willing/able to provide temporary support to our other CLS locations and/or emerging deployments.
+ Willing/able to work a flexible work schedule that may include extended shifts, holidays, and weekends and support on call status for 24 hours-a-day, 7 days-a-week, 365 days-a-year.
+ Able to meet the physical demands of this position, including regularly required to lift up to 50 pounds and perform repetitive movements, work overhead, use hands to grasp, handle and/or feel, reach. The employee is frequently required to stand, walk, stoop, kneel, squat, crawl, and twist.
**Preferred Qualifications :**
+ US Navy or Marine Corps CDQAR or CDI, Air Force 5 or 7 Level, or Ordnance handling and load team qualifications (Quality Assurance Safety Observer, Team Leader, or Team member).
+ Eight or more years F-22 or F-35 ALSS/Flight Equipment and/or Crew Chief Experience.
+ U. S. Navy or U. S. Marine Corps experience with thorough understanding of F-35 Fighter Aircraft Systems and operations under COMNAVAIRFORINST 4790.2 and 4790.35.
+ Experience with Aircraft, Engine, ALSS, Egress, Armament and Support Equipment Logbook and Record entries.
+ Completion of ALSS Configuration Management Course (C-555-0056).
+ Excellent communication skills and experience in a Military-focused Customer Service-Related Industry.
**Salary Range:** $64,700 - $107,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Beaufort, SCR10150825South CarolinaSCCLS - F-35 Aircraft Mechanic 4 / Flight Equipment (Beaufort SC)None72C6EB477702419882209C415834C010http://northropgrumman-veterans.jobs/72C6EB477702419882209C415834C01023BaltimoreNorthrop GrummanUnited StatesUSA2024-03-28 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The **Northrop Grumman Mission Systems Sector** in Baltimore, MD has an immediate opening in BWI Operations for a **Director 1, Operations Programs Engineering position supporting the NIS and ECD businesses.** This position will report to the Director, Operations Program Management for BWI.
The individual will be responsible for operating in a team environment supporting the NIS Operating Unit's based in Baltimore and the BWI ECD programs. The individual will work with the Business Unit leadership, program managers, customers, and peer organizations to lead our operations teams to improve operational efficiency, implement optimal solutions and define end-to-end manufacturing, integration & test strategies and facilities. This individual will be the primary point of contact for all operations needs of the Business Unit.
The individual must be knowledgeable of the business acquisition process, program life cycle, manufacturing capabilities, supply chain processes, scheduling techniques, continuous improvement strategies, manufacturing readiness assessments, compliance requirements and financial practices to execute cost and schedule objectives and implement strategic initiatives.
The Director must possess strong leadership abilities, excellent written & verbal communications skills, and effective problem-solving capabilities. The individual will be responsible for recruiting and mentoring future leaders, training and skill development initiatives and promoting engagement and inclusion activities. The ability to influence up and down the chain of command is required, as is a proven track record of innovation, problem solving and career development. The Director will represent Operations at all required levels within this Business Unit to achieve Program, Manufacturing and Global Supply Chain objectives to support the Annual Operating Plan. The Director will align the business area, manufacturing and supply chain strategies, execution roadmaps and investment opportunities to drive the Business Unit's Long Range Strategic Plan.
+ Primary Manufacturing Operations interface with the Program Office, Business Management, Engineering, Supply Chain Management and Mission Assurance on all aspects of manufacturing programs
+ Overall responsibility for managing proposals, production planning and execution of manufacturing programs, while monitoring and addressing all cost, schedule, performance, and quality issues
+ Lead multifunctional teams, including Operations functional support organizations, to ensure all program and manufacturing goals and objectives are met
+ Acts as the key point of contact for senior leadership on all contract and program related matters
+ Provides oversight and accountability for all operations related activities to ensure compliance with company objectives and obligations
+ Manages all financial and budgetary aspects of their organization
+ Ensures the department is adequately staffed to meet business demands
+ Responsible for employee development, performance management and employee engagement activities
**Basic Qualifications:**
+ Bachelor's degree and a minimum of 10 years related experience in a manufacturing environment
+ 5+ years of direct people leadership experience
+ Experience working with the Aerospace & Defense industries
+ Working knowledge of SAP and Material Requirements Planning (MRP) systems
+ Experience developing proposals and managing program execution with a demonstrated ability to create, track and meet schedules
+ Experience with the execution and cost management of programs
+ Working knowledge of financial management including projects, process control and budgets
+ The ability to obtain a Secret clearance; US citizenship is required.
**Preferred Qualifications:**
+ Master's degree in STEM related discipline or MBA
+ A current Secret clearance, with the ability to obtain a Top Secret
+ A career history that demonstrates of progressive leadership experience in manufacturing/production, operations, program management, or related area
+ A background in, and understanding of, manufacturing and or engineering processes, technologies, and programs
+ Experience in proposals, business development, acquisitions, and associated customer interactions
+ Product transition from development to production methodology and implementation
+ Knowledge & experience in Manufacturing and Supply Chain as well as a strong customer domain knowledge
+ Experience supporting cross-sector initiatives and/or programs
+ Work that crosses typical organizational, site, sector boundaries and that consolidates, transfers manufacturing operations
+ Continuous improvement and problem-solving tools and techniques
+ Demonstrated communication skills both verbal and written
**Salary Range:** $165,400 - $248,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10150124MarylandMDDirector 1 Operations Program Engineering - BWINone804EA122D73D4BC9A33D6A2553F52150http://northropgrumman-veterans.jobs/804EA122D73D4BC9A33D6A2553F5215023LinthicumNorthrop GrummanUnited StatesUSA2024-03-28 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Northrop Grumman Global Battle Management and Readiness (GBMR) Division is seeking two Director Project Management candidates to join our team in **Linthicum, MD, Oklahoma City, OK, Lake Charles, LA, or Warner Robins, GA.** Additionally, other division sites may be considered. GBMR is a leading global provider of defense solutions to enable decision-making and defeat advanced threats, while supporting military operations, sustainment and readiness.
These roles are responsible for leading Operations (defined as: manufacturing, global supply chain, flight ops, facilities, employee health, safety & sustainability) across their respective Business Unit. The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, and collaborative/team settings across all levels, including executive leadership.
The essential duties and responsibilities include but are not limited to the following:
Performance
+ Lead supply chain organization and processes to ensure successful achievement of material sales objectives for the business unit, while remaining compliant to customer and company requirements
+ Lead manufacturing and flight operations teams to ensure successful achievement of business unit objectives, while remaining compliant to customer and company requirements
+ Drive a culture that prioritizes safety and quality while valuing employee health and safety
+ Devise and implement process improvements to maximize efficiency and minimize overhead costs.
+ Develop, implement, and monitor day-to-day operational systems and processes to provide visibility into the goals, progress, and obstacles of key initiatives.
+ Plan, monitor, and analyze key metrics for day-to-day operations to ensure efficient and timely completion of tasks.
+ Accountable for operations performance across the business unit including performance in support of programs (i.e., cost, schedule, quality, etc.)
+ Accountable for staffing of operations functions throughout the business unit
Strategy and Vision
+ Implement the strategic objectives for manufacturing, supply chain, EHS, and facilities
+ Realize division operations strategic objectives and sector OKR's for manufacturing and supply chain
+ Lead supply chain initiatives to establish strategic supplier relationships / agreements
+ Devise and implement strategies for ensuring the growth of programs enterprise-wide
Measurable Organizational Objectives
+ Determine operational objectives in accordance with corporate, sector-wide and division strategies and policies and ensure the achievement of objective performance
+ Develop business operating objectives, key performance indices, and other metrics used to measure business performance at the division level
Operations Optimization
+ Establish capability support agreements / partnerships across other elements of NG to leverage centers of excellence in support of establishing an optimal business operating model that supplements internal skills with these external partnerships when they enable a more affordable and efficient business model.
+ Support digital transformation efforts across the business unit and sites
+ Support achievement of Operational Excellence SOO and operations-related strategic roadmaps
Leadership
+ Establish a vision for positive employee engagement
+ Model the leadership characteristics
+ Ensure NG Values are at the forefront of all operations processes and activities
+ Act with a sense of urgency and instill a sense of urgency in the operations team
+ Reinforce the vital importance of compliance to contractual and NG requirements
**Basic Qualifications:**
+ Bachelor's degree with 10+ years of related experience or a Master's degree with 8+ years of related experience
+ 5+ years' experience in areas of global supply chain, employee health & safety or manufacturing (manufacturing or global supply chain preferred)
+ Interim Secret clearance, or higher, required. Ability to obtain and maintain a Secret clearance up to Top Secret
+ Demonstrated leadership skills and the ability to lead effectively in a team environment.
+ Role models company values and leadership characteristics
+ Demonstrated operations, logistics, or equivalent functional/program management experience
+ Exceptional communication and collaboration skills
+ Experience leading a large (500+ employees) distributed workforce
**Salary Range:** $141,800 - $248,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10153276MarylandMDDirector Project Management 1NoneACA42FF758514B1C9881F29B76FC60F6http://northropgrumman-veterans.jobs/ACA42FF758514B1C9881F29B76FC60F623LinthicumNorthrop GrummanUnited StatesUSA2024-03-28 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is seeking an **Engineering Technician C** to join its Advanced Technology Laboratory (ATL) Laboratory and Logistics Support team. This position is located in **Linthicum, MD** supporting a cryogenic research and development test laboratory.
The Engineering Technician C will construct, test and troubleshoot a variety of electro-mechanical-optical circuits and equipment under the guidance, direction and supervision of higher classified personnel.
1. Construct, wire, bond, test and modify breadboard circuitry, micro circuit equipment and the like, where basic electronic knowledge is required in the location of components and devices for proper functioning of unit, working from detailed instructions such as sketches, schematics, string list and oral instructions. Make elementary layout of units, planning location of components and devices required in unit. Close guidance received on more critical units.
2. Obtain material, determining required components such as resistors, capacitors, transistors, printed circuits and integrated circuits, wire/bond from sketches and verbal instructions. Select components of proper value and quality in relation to unit being constructed. Make proper substitution for unavailable common components.
3. Perform laboratory tests and measurement in electro-mechanical-optical equipment selecting proper instrumentation such as: Oscilloscopes, voltmeters, ammeters, and the like where some interpretation of test data may be required in terms of reliability.
4. Troubleshoot component items and simple interrelated circuits, selecting proper test instrumentation, which requires basic knowledge of the electro-mechanical laws involved in the functioning of the equipment.
5. Make calculations required in the summarization of data per detailed instructions, using algebraic formulae.
6. Prepare and submit test results and reports to higher classified personnel as required.
**This is an SEA union represented position.**
**This is a 2nd shift position.**
**EDUCATION REQUIREMENT:**
High school graduate or recognized equivalent.
Must possess an Associates Degree (minimum 60 semester hours) or two years (minimum 60 semester hours) of college level study with a major in Electronics or a minimum of six months military electronic school plus two years working experience in the maintenance and repair of electro-mechanical equipment.
**EXPERIENCE REQUIREMENT:**
Must have knowledge of electronic principles, the ability to wire and assemble circuits and understand the operation of basic test equipment.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10152909MarylandMDU109 ENGINEERING TECH C - 2nd ShiftNoneB488795ED2034D68978617DC11478B9Fhttp://northropgrumman-veterans.jobs/B488795ED2034D68978617DC11478B9F23LinthicumNorthrop GrummanUnited StatesUSA2024-03-28 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace! Do you enjoy a culture where your voice is valued? Start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. Here at Northrop Grumman, we take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today. As a company, we strive to create a diverse and inclusive workforce and understand that our employees are at their best with a healthy work-life balance, both of which are examples of the many benefits of joining our team!
The Northrop Grumman Mission Systems (NGMS) Advanced Processing Solutions (APS) Business pushes the boundaries of innovation, redefines the leading edge of exotic new technologies, and drives advances in the sciences. One of our most challenging new fields is Transformational Computing, which combines the unique properties of superconductivity and quantum mechanics to develop radical new energy-efficient computing systems.
We are seeking a motivated device/quantum/solid-state physicist to help us design the next generation of high-performance computing solutions! You will be a part of a team of scientists and engineers making these processing solutions a reality and deliver remarkable new advantages to the warfighter. We are a hard-working team that strives to foster a culture of belonging, mentorship, and personal growth. We are passionate about creating innovative solutions to exciting multi-disciplinary challenges!
As a member of our team, you will
+ Design superconducting quantum circuits, including circuit schematics, physical layout, and integration.
+ Simulate circuit behavior to bound critical RF parameters prior to fabrication.
+ Support research activities by integrating quantum techniques/skillsets alongside classical circuit and microchip engineers.
+ Collaborate closely with the fabrication and test teams.
**This position requires work onsite at our Advanced Technology Lab (ATL) facility in Linthicum, Maryland or our Annapolis Junction, MD location.**
**This position can be filled at the Principal Design Physicist level OR the Sr. Principal Design Physicist level. Qualifications for both are listed below:**
**Basic Qualifications for Principal Design Physicist:**
+ A master's degree in physics, electrical engineering, or a related STEM field with 3 years of related experience; PhD in physics, electrical engineering or related STEM field.
+ Knowledge of Quantum Mechanics and Electromagnetics.
+ Two years of specific experience in one or more of the following:
+ Coding/designing and running simulations, with a focus on cross-platform interfacing.
+ Planning and executing circuit design and validation.
+ Implementing Design of Experiments (DoE) concepts, including interactions with test teams.
+ The ability to obtain and maintain a TS/SCI with polygraph clearance. US Citizenship is a prerequisite.
**Preferred Qualifications for Principal Design Physicist:**
+ A Doctoral degree.
+ A deep understanding of superconductivity.
+ An understanding of low temperature experimental practices.
+ An active TS/SCI clearance with a Polygraph.
**Basic Qualifications for Sr. Principal Design Physicist:**
+ A master's degree in physics, electrical engineering, or a related STEM field with 7 years of related experience; PhD in physics, electrical engineering or related STEM field with 4 years of related work experience.
+ Knowledge of Quantum Mechanics and Electromagnetics.
+ Two years of specific experience in one or more of the following:
+ Coding/designing and running simulations, with a focus on cross-platform interfacing.
+ Planning and executing circuit design and validation.
+ Implementing Design of Experiments (DoE) concepts, including interactions with test teams.
+ The ability to obtain and maintain a TS/SCI with polygraph clearance. US Citizenship is a prerequisite.
**Preferred Qualifications for Sr. Principal Design Physicist:**
+ A Doctoral degree.
+ A deep understanding of superconductivity.
+ An understanding of low temperature experimental practices.
+ An active TS/SCI clearance with a Polygraph.
**Salary Range:** $123,900 - $185,900
**Salary Range 2:** $153,600 - $230,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10154669MarylandMDPrincipal OR Sr. Principal Design PhysicistNoneBFCE029C205C4834B377CE58AA9B7CBEhttp://northropgrumman-veterans.jobs/BFCE029C205C4834B377CE58AA9B7CBE23Redondo BeachNorthrop GrummanUnited StatesUSA2024-03-28 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Mission Systems Category Management Team is looking for a Supply Chain Planning Specialist, reporting to the Category Manager. This position has exposure at the enterprise level and is instrumental in driving the supply base to provide consistently high-quality parts on schedule to support our customers.
The position is supplier facing focused and shall have responsibilities to coordinate and execute on supplier management tasks within the larger category team. Candidate shall have responsibility to organize activities, identify solutions, status, and report on various supplier related tasks. This role will require periodic travel to support supplier visits and meetings with their ownership/leadership for the benefit of the category and programs. Position shall include responsibility for tasks pertaining to management of suppliers within the RF & Digital portfolio.
This position is located in Redondo Beach, CA / May consider full-time remote.
**Position Summary / Responsibilities:**
+ Perform Open Order Report (OOR) management to include dock dates, need dates, contract dates, using Material Requirements Planning (MRP) tools.
+ Lead supplier issue management, prioritization, and expediting.
+ Leads, creates, and maintains Production Readiness Reviews (PRR), Quarterly Business Reviews (QBR), and assist with Enterprise Strategic/Sourcing Agreements (LTPA/LTSA).
+ Completes quarterly supplier assessments for critical and strategic suppliers (SAMS).
+ Participates in make/buy process for new parts and programs.
+ Assists with long-term capacity and resource planning; product phase-in/phase-out, manufacturing ramp-up, end of-life management.
+ Assesses supplier rough-cut capacity for current and short-term manufacturing requirements.
+ Analyzes lead times and identifies critical path procurements.
+ Provide status and solutions on emerging issues with program supply chain personnel. Develop Return to Green (RTG) and milestone plans as necessary.
+ Socialize new upcoming programs and forecasts with suppliers.
+ Responsible for generation and upkeep of Supplier Management Plans and risk mitigation issues for key suppliers.
**Basic Qualifications:**
+ Bachelor's degree and 6+ years of experience, Master's degree with 4+ years of experience in supply chain, contracts, business and/or related field.
+ Successful candidate shall be a self-starter and able to set priorities and multi-task assignments
+ Must be able to work as part of a success-oriented team and collaborate within the Global Supply Chain (GSC), Program and other stakeholder teams
+ Must have resident skills to effectively communicate and have superior organization skill
+ U.S citizen and ability to obtain US Government Secret clearance
**Preferred qualifications:**
+ Strong communication and presentation skills.
+ Experience with MS Office, Power BI, & SAP.
+ Understanding of RF & Digital products and modules.
No Security Clearance required to start but must be able to achieve/maintain.
**Salary Range:** $80,600 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Redondo Beach, CAR10153437CaliforniaCARF & Digital Category Management Principal Supply Chain Planning Specialist:NoneD781BE5CD8E246BE8452ED5319D1E75Dhttp://northropgrumman-veterans.jobs/D781BE5CD8E246BE8452ED5319D1E75D23RoyNorthrop GrummanUnited StatesUSA2024-03-28 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is seeking an **Industrial Security Manager 2** to work in our **Roy, UT** location. Candidate will be primarily responsible for managing DD Form 254s and the direct supervision of the subcontract's security team. Candidate will be responsible for maintaining compliance with applicable security regulations.
The responsibilities include but are not limited to the following:
+ Supporting classified security programs and provide expertise in all security functions in accordance with the DoD Special Access Program (SAP) Security Manuals (DoD Manual 5205.07 Volumes 1-4) and the National Industrial Security Program Operating Manual (NISPOM), and other applicable security regulations and requirements.
+ The creation, modification, and oversight of 100+ subcontractor DD Form 254s.
+ Direct supervision of the subcontract security team (3-5 personnel)
+ Management and supervision of NG and subcontractor locations ensuring compliance with DD Form 254s and applicable government directives.
+ Travel to subcontractor locations to conduct site assessments and compliance readiness visits.
+ Support classified security programs and provide expertise in all security functions in accordance with the DoD Special Access Program (SAP) Security Manuals (DoD Manual 5205.07 Volumes 1-4) and the National Industrial Security Program Operating Manual (NISPOM), and other applicable security regulations and requirements.
**Basic Qualifications** :
+ Bachelor's degree and six years of related security experience or a master's degree and 4 years of relevant security experience. In lieu of a degree, an additional four years of related security experience may be considered.
+ Candidate must be a US Citizen and have/maintain a U.S. Government **Top Secret** clearance, with an investigation dated within 6 years or be enrolled in the DoD Continuous Evaluation (CE) program.
+ Candidate must have the ability to obtain and maintain access to a Special Access Program (SAP).
+ Ability to travel as needed, up to 20%
+ Organized and efficient at time management and display strong leadership, interpersonal and written/verbal communication skills.
+ Ability to work and collaborate amongst segment teams.
+ Must have in-depth knowledge and demonstrated experience with 32 CFR Part 117 (NISPOM), DoD 5205.07 manuals , ICDs, and other national level security directives .
**Preferred Qualifications** :
+ Prior experience as an appointed security official: i.e., Contractor Program Security Officer (CPSO), Facility Security Officer (FSO).
+ Prior management and/or leadership experience.
+ In depth knowledge of DD Form 254s.
**Salary Range:** $108,400 - $162,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10154527UtahUTIndustrial Security Manager 2 (12655)NoneE53B21F1116E4283805054C05B514E01http://northropgrumman-veterans.jobs/E53B21F1116E4283805054C05B514E0123CincinnatiNorthrop GrummanUnited StatesUSA2024-03-28 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
Northrop Grumman Mission Systems is seeking a dedicated Principal/Sr. Principal Quality Engineer to join our team in Cincinnati, OH.
**The Quality Engineer's responsibilities include:**
+ Develops, modifies, applies, and maintains quality evaluation and control systems and protocols for processing materials into partially finished or finished materials product.
+ Experience with tracking and reporting quality performance metrics.
+ Experience with Risk and Opportunity Management Framework.
+ Working knowledge of Material Review Board (MRB) standards and practices.
+ Experience with Software/Hardware development lifecycle through operations and sustainment.
+ Collaborates with engineering and manufacturing functions to ensure quality standards are in place.
+ Devises and implements methods and procedures for inspecting, testing, and evaluating the precision and accuracy of products and production equipment.
+ Designs and analyzes inspection and testing processes, mechanisms, and equipment; conducts quality assurance tests; and performs statistical analysis to assess the cost of and determine the responsibility for, products or materials that do not meet required standards and specifications.
+ Audits quality systems for deficiency identification and correction.
+ Ensures that corrective measures meet acceptable standards, and that documentation is compliant with requirements.
+ May specialize in the areas of design, incoming material, production control, product evaluation and reliability, inventory control and/or research and development as they apply to product or process quality. May be certified in lean and six-sigma quality engineering methodologies.
+ Strong working knowledge of root cause analysis/corrective action.
This position may be filled at the Principal or Sr. Principal level, depending on experience.
**Basic Qualifications for Principal Engineer Quality:**
+ Bachelor's in a related STEM field with 5+ years of relevant experience; OR a Master's in a related STEM field with 3+ years of relevant experience.
+ Experience in a manufacturing environment.
+ Familiar with electronic workmanship standards.
+ Must be able to communicate written and verbally.
+ U.S. Citizenship required with the ability to obtain and maintain a Top-Secret Clearance.
+ Willingness to provide support to other shifts as needed.
**Basic Qualifications for Sr. Principal Engineer Quality:**
+ Bachelor's in a related STEM field with 9+ years of relevant experience; OR a Master's in a related STEM field with 7+ years of relevant experience;
+ Experience in a manufacturing environment.
+ Familiar with electronic workmanship standards.
+ Must be able to communicate written and verbally.
+ U.S. Citizenship required with the ability to obtain and maintain a Top-Secret Clearance.
+ Willingness to provide support to other shifts as needed.
**Preferred Qualifications:**
+ Experience with SAFe Agile or Agile
+ Experience with DevSecOps
+ Scaled Agile Framework or Agile experience.
+ Six Sigma Green Belt certification
+ ASQ Certified Quality Engineer
+ IPC -A-610/J-STD-001 Class 3 workmanship certification
+ Active clearance
+ AS9100 Auditor certification
**Salary Range:** $88,600 - $133,000
**Salary Range 2:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Cincinnati, OHR10149557OhioOHPrincipal/Sr. Principal Engineer QualityNone124B74B0F175423DB983EF58FE0A6E0Ehttp://northropgrumman-veterans.jobs/124B74B0F175423DB983EF58FE0A6E0E23RoyNorthrop GrummanUnited StatesUSA2024-03-28 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman is seeking an Associate Systems Safety Engineer.** This position will be located at our Space Systems Sector in Roy, UT.
The qualified candidate will become part of Northrop Grumman's Strategic Deterrent Systems Division.
Responsibilities may include:
+ Reviews product systems, associated support equipment and facilities, functional specifications and operations, and establishes safety requirements for assigned systems.
+ Independently analyzes and recommends safety criteria in system design, equipment and procedures to control or eliminate hazards.
+ Develops safety documents as required to support customer and test/operational range requirements. Performs audits of operational areas and reports effectiveness of system safety program.
+ Participates in accident investigations involving damage to products or related facilities and assists in identification of causes and corrective actions.
+ Evaluates the interrelationship of system safety requirements and other aspects of concept design and development requirements to ensure the most cost effective program.
**Basic Qualifications:**
A candidate must meet ALL of the below criteria. The candidate must:
+ Be completing or has completed their Bachelor's degree in Mechanical Engineering, Aerospace Engineering, Physics, Chemical Engineering, Electrical Engineering, Computer Engineering, Software Engineering or Chemical Engineering from an accredited institution
+ Be able to obtain a U.S. Government security clearance (U.S. citizenship is a pre-requisite)
**Preferred Qualifications:**
+ Be completing to completed their degree in Systems Engineering.
+ Have an overall cumulative GPA of 3.70/4.0 or higher
**Salary Range: $60,600- $91,000**
For a broader consideration, please consider completing a profile in our campus candidate community. By clicking on the link below your resume will be visible to recruiters and hiring managers across Northrop Grumman with opportunities nationwide for our internship and entry-level positions.
https://northropgrumman.yello.co/app/collect/form/9iuA6\_W8E7bMDHTOHUZoWw
campusjobs
**Salary Range:** $60,600 - $91,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10154593UtahUT2024 Associate Systems Safety Engineer - Roy UTNone69AA01F0E8F340D9907D080822DB83BChttp://northropgrumman-veterans.jobs/69AA01F0E8F340D9907D080822DB83BC23FairfaxNorthrop GrummanUnited StatesUSA2024-03-28 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they are making history.
The **Payload and Ground Systems** organization within the **Northrop Grumman Space Systems** pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, and innovative technologies to develop, design, produce, and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today. #definingpossible
Northrop Grumman is looking for a Staff Systems Engineer to join the program supporting the Systems Engineering, Integration and Test (SEIT) organization as the SEIT Lead. **This position can be filled from either Fairfax, VA, Linthicum, MD, Aurora, CO, Morrisville, NC or Redondo Beach, CA.**
**What You'll Get To Do:**
+ Technical leader for the system engineering team - ensuring system is well architected, designed, developed, implemented, and supported
+ Lead a diverse engineering team and be responsible for the end-to-end technical execution, cost, schedule, quality, and staffing
+ This position requires multidiscipline knowledge in all areas related to engineering including systems design, hardware, software, and integration and test.
+ The candidate will be reliable, resourceful, work accurately and independently with an ability to anticipate, initiate, and follow through with all responsibilities.
**Basic Qualifications:**
+ Strong understanding of SEIT to include requirements analysis, derivation, and management, CONOPs development, cost and risk analysis, and system design and development, implementation, testing, and O&M
+ Experience developing and implementing SEIT process ownership, execution, and tailoring
+ Experience working on Agile programs and methodology
+ Experience in Model Based Systems Engineering
+ Experience with Ground Segment
+ Experience leading a team of system engineers in systems engineering deliverables and processes
+ Proactive, highly motivated, and adaptable, with excellent organizational skills including the ability to juggle multiple tasks, changing needs, and competing priorities. Individual must be able to complete a wide variety of tasks with minimal supervision
+ Excellent leadership and interpersonal communications skills, with the ability to interface with all levels of employees and management
+ TS/SCI and willingness to sit for polygraph
+ Able to work in a dynamic, in office environment
**Preferred Qualifications:**
+ Experience with design and development of national security space or commercial space, interfaces, and ground systems architecture and/or integration of multiple IPTs is strongly preferred
+ Experience working in a defense customer and aerospace industry firm
+ Configuration management and document management
+ Experience with Northrop Grumman processes and procedures
+ Ability to identify process bottlenecks and implement Process improvement with multiple stakeholder
**Salary Range:** $150,000 - $247,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fairfax, VAR10154499VirginiaVAStaff Engineer Systems (SEIT Lead)None91819965C57E4A189F151752DF59721Fhttp://northropgrumman-veterans.jobs/91819965C57E4A189F151752DF59721F23ExmouthNorthrop GrummanAustraliaAUS2024-03-28 06:15:35We are Northrop Grumman Australia. Part of an 85,000 strong global Defence organisation, over 800 talented employees in Australia are leveraging our global resources to deliver a superior Australian Defence capability. As we build our business and our capabilities, we are also building a reputation as a great place to work. Help us define what is possible for our nation, and for your career.
This is an exciting time to join our expanding team spearheading the design, supply, installation and commissioning of our cutting-edge Deep Space Advanced Radar Capability (DARC) facility, strategically located near the picturesque town of Exmouth, Western Australia.
DARC is a global network of three next-generation ground sensors, based in the United States, the United Kingdom, and Australia. Together, they will deliver a unique 24/7 all-weather capability, tracking objects in geosynchronous orbit - a testament to Northrop Grumman's commitment to enhancing security and safety in deep space on a global scale.
Building on this momentum, we are now seeking passionate individuals for two key roles: **Senior** **Systems** **Engineer Integration and Test (SEIT)** and **Systems Engineer - Safety & Quality (SESQ)** . In these roles, you will be integral to the success of the DARC facility, working on a project that sets new standards in space technology. This is your chance to join a team that is not only shaping the future of global defense capabilities but also pushing the boundaries of what's possible in space exploration and monitoring.
**Senior** **Systems Engineer (Integration and Test)**
**Your Role**
In the role of Systems Engineer Integration and Test (SEIT) at Northrop Grumman, you will play a crucial leadership role in the execution of our large defence communication project, part of a globally impactful program. Your day-to-day responsibilities will revolve around on-site management of Integration and Test (I&T) activities, encompassing the coordination and implementation of systems engineering. This role spans a variety of areas including systems, subsystems, products, and external interfaces, with a focus on requirements, design, and verification.
Your work will place you at the heart of an international collaboration, engaging with a diverse team that includes experts from the US, UK, and Australia. Collaboration is key in this position, as you'll be closely working with the lead SEIT team, who are operating remotely from the work location. Your expertise in System Engineering principles and lifecycles, along with the capability to work effectively with defence stakeholders, will be invaluable. Your role demands the skill to identify and resolve risks and issues in a setting with limited support, ensuring the successful integration of the project's components while maintaining high levels of technical assurance and quality.
**About You**
With a Bachelor of Engineering or an equivalent degree, you bring essential qualifications or training in Systems Engineering. Your ability to be registered as a Professional Engineer adds to your profile. Your experience with requirements management tools like DOORs and a solid background in Systems Engineering for projects set you apart. You are skilled in requirements capture, validation, and traceability for compliance, and you excel in working independently or in remote environments.
**Systems Engineer - Safety & Quality**
**Your Role**
As a Systems Engineer - Safety & Quality (SESQ) at Northrop Grumman, you are stepping into a vital role within the pioneering Deep Space Advanced Radar (DARC) project. This groundbreaking venture calls for your expertise in overseeing crucial Integration and Test (I&T) activities, ensuring exceptional safety engineering and quality across various systems.
Your work will place you at the heart of an international collaboration, engaging with a diverse team that includes experts from the US, UK, and Australia. This is a unique opportunity to be part of a first-of-its-kind program, leveraging your skills in system engineering, software testing, RF radiation safety, and knowledge of sensors and control systems. In an environment where innovation thrives, you will tackle challenges with limited on-site support, mastering risk management and proactive problem-solving to uphold the high technical standards and tight schedules of this exciting program.
**About You**
Armed with a Bachelor of Engineering (or equivalent), you excel in both independent and collaborative settings, whether local or remote. Your experience in Systems Engineering on significant projects and your proficiency in RF radiation safety are crucial for this role. As part of this first-of-its-kind project, you will join forces with an international team of experts, making significant contributions to a program that is not just pioneering in its field, but also reshaping the future of aerospace technology. Your familiarity with DOORs, coupled with experience in satellite communications, RADAR systems, or system audits, will add immense value.
At Northrop Grumman, you are not just taking a job; you are becoming part of a landmark project, defining a new frontier in aerospace and committing to the highest standards of safety, quality, and technical excellence.
**What we Offer:**
Whatever your role, life stage or background, you can shape your career here in your way with highly challenging work, great colleagues and career development, plus a range of flexible benefits and working patterns.
+ Professional Development - further education, leadership development, professional industry memberships and unlimited access to a range of online training
+ Purchased annual leave
+ Salary packaging including novated car leases
+ Generous paid parental leave
+ Volunteer Leave
+ Health & Wellbeing program
+ Employee Assistance Program
**Everyone Matters:**
Doing the Right Thing and Sharing Success are two values underpinning how we behave at Northrop Grumman. Here, everyone matters and we proudly encourage individuals from all backgrounds and all abilities to apply to join our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.
As a Defence security clearance is required for this role, you must be an Australian Citizen. International Traffic in Arms Regulations (ITAR) are applicable, as such your nationality may be a factor in determining your suitability for this role. You will also need to satisfy police checks and employment screening verification.
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.Exmouth, AUSR10133857Systems Engineer (Integration and Test)None9DE4C47C950E446A83D8FF767AD7169Chttp://northropgrumman-veterans.jobs/9DE4C47C950E446A83D8FF767AD7169C23RoyNorthrop GrummanUnited StatesUSA2024-03-28 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Principal/Sr. Principal Mission Assurance Engineer.** This position will be located in **Roy, UT** and will support the **Sentinel** (GBSD) program.
**This role may offer a competitive relocation assistance package** **.**
**What You'll Get** **To** **Do:**
+ Support MA functions to ensure mission success.
+ Technical reviews of all GBSD drawings, SOW's, Requirements documents as required. Performs or assures quality, risk management, safety, reliability, and maintainability of GBSD achievements.
+ Assesses GBSD performance and risks and determines resources to ensure mission assurance.
+ Assist the Program Quality Managers (PQM) with all GBSD Mission Assurance/Program Management requirements.
+ Develop, implement, and maintain the GBSD Program Quality Plans.
+ Assign program tasks to the functional area PQE's.
+ Lead and facilitate GBSD program FRBs ensuring identification of root cause and proper implementation of corrective actions and reach back and reach across.
+ Assist PQM in preparation and execution on of Program Preventive and Corrective Action Board (PCAB).
+ Develop and maintain GBSD Program Metrics.
+ Assist in the preparation of the Program Management Program Review packages.
+ Support PQM with GBSD Customer Corrective Action Requests.
+ Support IPT MA & QE in Conditional Acceptance requirements and flow down.
+ Monitor timely closure of Conditionally
+ Accepted hardware and work corrective actions with IPT MA & QEs
+ Acceptance of functional and integrated test events.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**You'll Bring These Qualifications:**
Basic Qualifications:
+ **Principal Mission Assurance Engineer (T03)** : A Bachelors Degree and 5 years of Test or Quality experience, OR a Masters Degree and 3 years' experience, OR a PhD Degree and 0 years' experience.
+ **Senior Principal Mission Assurance Engineer (T04)** : A Bachelors Degree and 9 years of Quality experience, OR a Masters Degree in and 7 years' experience, OR a PhD Degree and 4 years' experience.
+ Note: an additional 4 years of relevant work experience may be considered in lieu of a degree.
+ Working knowledge of Quality Management Systems, (i.e., AS9100/ISO 9001)
+ Experience with Mission Assurance Engineering and Quality Engineering practices
+ U.S. Citizenship with an active DoD Secret clearance investigation within the last 6 years; eligible for Special Access Program Clearance
**These Qualifications Would be Nice to Have:**
Preferred Qualifications:
+ Understanding of Model Based Systems Engineering concepts
+ Demonstrated ability prioritizing tasks and meeting deadlines
+ Excellent interpersonal and communication skills
+ Experience with manufacturing and test labs quality assurance processes
+ Experience with root cause corrective actions and MRB
+ Experience quality management systems to support program processes, audits, and improvement
+ Experience in Aerospace/Missile Systems/Sub-Systems design, development, test, or sustainment
+ Experience in process monitoring, data collection, analysis, and metric reporting for overall program and segments.
+ Lead program PCAB and drive process improvements
+ An Active Top Secret clearance
**Salary Range:** $92,600 - $139,000
**Salary Range 2:** $114,900 - $172,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10153533UtahUTPrincipal/Sr Principal Mission Assurance Engineer - 12651/12652NoneB40BFF0AB85D44B4AEE755771BC327D1http://northropgrumman-veterans.jobs/B40BFF0AB85D44B4AEE755771BC327D123NorthridgeNorthrop GrummanUnited StatesUSA2024-03-28 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems in Northridge, CA is currently seeking a **Sr. Mechanical Engineering Manager 3** to support various programs within the Advanced Weapons Business Unit. This will be 100% on-site.
Reporting to the Director of Hardware Engineering, the Mechanical Engineering Manager 3 will be responsible for supporting the implementation of strategic goals and objectives as applicable to Mechanical Engineering to support overall business unit objectives. The role will ensure mechanical engineering projects, initiatives, and processes are in conformance with the organization's established policies and procedures. Success in this role will reflect extensive experience and judgment in order to plan and accomplish goals.
This position requires the selected candidate to work on-site in Northridge, CA. Telework is not available for this position. Some relocation assistance, while not guaranteed, may be available.
**Key responsibilities include:**
+ Manage a department of 50+ Mechanical Design and Analysis Engineers including 3 subordinate managers.
+ Work with Engineering Leadership, Peer Managers and Program Management to ensure programs are adequately and appropriately staffed.
+ Act as an advisor to subordinate managers and staff members to meet schedules or resolve technical or operational problems.
+ Coach and develop staff by providing an environment that encourages ongoing professional growth. Ensure staff receives the appropriate training and skill development opportunities to be successful in their roles.
+ Participate in mechanical engineering process improvement initiatives to ensure alignment of operations initiatives.
+ Provide resources for proposals; participate in proposal writing as needed.
+ Develop and administer budgets and standards of performance.
+ Perform reviews of department work products (e.g., technical reports, drawings, basis of estimates, etc.) for completeness.
+ Participate in Peer Reviews and Major Design Reviews.
+ Support the Business Unit's initiative to move to Model Based Engineering.
**Basic Qualifications:**
+ Bachelor's degree with 9+ years experience in Mechanical or Aerospace Engineering or a MS with 7+ years of experience.
+ Demonstrated experience directly leading a team of engineering managers and mechanical engineers.
+ Experience writing processes for Mechanical Engineering.
+ Experience using CAD tools and PLM systems.
+ Must be able to obtain a US Gov't Secret clearance within a timeframe set forth by management; US citizenship is required.
**Preferred Qualifications:**
+ Masters Degree in Mechanical or Aerospace Engineering.
+ Experience selecting and working with materials for high temperature applications.
+ Experience in program leadership.
+ Experience working in the Defense Industry.
+ Experience estimating tasking and writing Basis of Estimates for Proposals.
*Living in Northridge offers a perfect combination of urban living with the beauty of nature. It is a short drive away from downtown Los Angeles, the Hollywood Hills, or the rest of the San Fernando Valley.
Take advantage of your flexible work schedule to enjoy shopping at the local Northridge Fashion Center or spend time at the local Recreation Center as well as easy access to nearby protected natural areas such as the Chatsworth Reservoir, the Santa Susana Pass State Historic Park, Lake Balboa or within driving distance, spend a day at the beach or snow ski at our local mountains. With Cal State Northridge right in the heart of town, the area has an active college-town energy combined with family-friendly suburban charm. The stunning southern California climate makes for year-round easy living. With an average of 284 sunny days during the year , it is safe to say you'll have plenty of time to enjoy your outdoor activities to the fullest.
\#definingpossible
For more information, please click on this link below:
Northrop Grumman in Northridge-California - Northrop Grumman
**Salary Range:** $164,300 - $246,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Northridge, CAR10153795CaliforniaCAMgr Engineering 3NoneB7637B58FB4E4B11B5C1FCBE67999F36http://northropgrumman-veterans.jobs/B7637B58FB4E4B11B5C1FCBE67999F3623PalmdaleNorthrop GrummanUnited StatesUSA2024-03-28 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Northrop Grumman Aeronautics Systems has an immediate opening for a Sr. Principal Systems Test Engineer within our Test and Evaluation Organization. The position will be located at our Palmdale, Ca facility.
What you may get to do!
Synthesizes customer contractual needs and requirements into system test solutions that acknowledges technical, schedule and cost constraints. Develops and directs preparation and execution of comprehensive test plans, procedures and schedules for completing systems. Coordinates integrated testing activities. Reviews and evaluates test requirements to insure completeness of test program. Performs technical analysis of complete systems and prepares comprehensive system level evaluations.
The primary job responsibilities include, but are not limited to the following:
+ Contribute to the development of test plans, test schedules, and test procedures and support for integration testing.
+ Support and host test planning working groups.
+ Provide test execution support and make real-time decisions regarding safety, efficiency, and effectiveness of test article.
+ Participate in post-test data evaluation and discrepancy resolution.
+ Serve as the subject matter focal point to interface with internal and external organizations to develop test and test data requirements.
**Basic Qualifications:**
+ Bachelor's Degree in STEM and at least 8 years of related experience; Masters in STEM and at least 6 years; Ph.D in STEM and at least 3 years of relevant experience in testing.
+ In depth knowledge of Flight Test experience, to include mission control room operations.
+ Ability to obtain and maintain DOD Top Secret clearance.
+ Must have ability to obtain and maintain Program Access (PAR) within a reasonable period of time, as determined by the company to meet its business needs.
+ Occasionally available to work odd shifts to include nights and weekends.
**Preferred Qualifications:**
+ Knowledgeable on mission systems and payloads
**Salary Range:** $120,900 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10154666CaliforniaCASr Principal Systems Test EngineerNoneCA9348130D3340E880874FB810584E37http://northropgrumman-veterans.jobs/CA9348130D3340E880874FB810584E3723PalmdaleNorthrop GrummanUnited StatesUSA2024-03-28 06:15:34At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Job Description
**Northrop Grumman Aeronautics Systems has an opening for a Manger Tool Die Making 1 to join our team of qualified, diverse individuals within our Tooling organization. This leadership role is located in Palmdale, CA.**
The F-35 Assembly tooling and fabrication shop is looking for a Tool Die Making Manager 1. This manager would be responsible for overseeing day to day operations in the F-35 Assembly tooling and fabrication shop in Palmdale Ca the best candidate is responsible for overseeing current practices and procedures in the field, emphasizing a strong interest in new technological applications. They ensure tooling support for production transition and sustained efforts, with key responsibilities including:
**Key Responsibilities:**
+ Establishing, maintaining, and improving processes/procedures to support program efforts.
+ Promoting consistent tool sets & processes across the organization and program IPTs.
+ Monitoring and managing risk items, promoting Lean, Knowledge Management, and process improvement initiatives.
+ Supervising employees involved in daily operations of tool fabrication, building jigs and fixtures, and periodic tooling inspections.
+ Management duties encompass personnel performance evaluation, merit planning, employee development, and EVMS utilization.
This will be a 4/10 work schedule, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement, and respond vigorously to change.
**Basic Qualifications:**
+ Must have a high school diploma/GED AND 5 years of related professional/military experience in manufacturing/aerospace/design/tooling/tool engineering, manufacturing engineering, integration and/or fabrication industries/ experience.
+ Must have 1 year of prior management/leadership experience.
+ Must have the ability to obtain a DoD Secret security clearance (US Citizenship required) as well as the ability to obtain and maintain Program Special Access
**Preferred Qualifications:**
+ Active Secret Clearance
+ Bachelor's Degree
+ Ability to interpret drawings and navigate model-based definition software
+ Experience in assembly tooling, machine shop operations, welding, periodic tooling inspections, verifications, and reworks.
+ Tool Die Making or Machine Shop Experience strong background in assembly tooling, machine shop operations, welding, periodic tooling inspections, verification's, and reworks
+ Experience working in Automated tooling environments
**Salary Range:** $104,600 - $156,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10146845CaliforniaCAManager Tool Die Making 1None1CCE9A64434E471A845CACA11FC11320http://northropgrumman-veterans.jobs/1CCE9A64434E471A845CACA11FC1132023DullesNorthrop GrummanUnited StatesUSA2024-03-28 06:15:34At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
**Northrop Grumman Space Systems Sector is seeking a Senior Principal Supply Chain Subcontracts Specialist for our Tactical Space Systems Division. This role requires an active TS/SCI clearance and the ability to work on-site in our Dulles, VA offices full time.**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Job Responsibilities:**
+ Sources and procures specialized goods and services, from cradle to grave such as executing NDAs, negotiating Teaming Agreements, reviewing SOWs/Tech Docs, issuing RFPs, analyzing proposals, evaluating commerciality claims, approving source justifications and technical evaluations, completing price/cost analyses, negotiating T&Cs/pricing/schedule/GR&A/etc., awarding and modifying subcontracts, preparing compliant procurement audit files in accordance with FAR and company policies, etc.
+ Owns contractual management for the supplier.
+ Responsible for source selection from contract proposal to execution phases.
+ Coordinates and collaborates with key stakeholders such as contracts, finance, engineering, quality, supplier development, planning, operations, program and end-users.
+ Develops subcontract specifications, work statements, and terms and conditions for the procurement of specialized materials, equipment, and/or services.
+ Prepares bid packages, conducts bidders conferences, analyzes and evaluates proposals, negotiates subcontract provisions, selects or recommends subcontractors, writes awards, and administers resulting subcontracts.
+ In conjunction with quality organization, evaluates and monitors supplier quality and reliability, as well as supplier ability to support required delivery schedules.
+ Identifies strategies and opportunities to reduce cost, improve efficiency and manage risk through strategic and targeted negotiations.
+ Manages performance of subcontracts, ensures compliance with all public law requirements as well as company (and business) policies and procedures including import/export regulations.
+ Employs sound business practices and assesses supplier performance as part of follow-up activities. Ensures that appropriate documentation is provided to logistics/transportation & warehouse organization so that proper receipt is recorded.
+ Manages and develops strategic/key suppliers. Reviews and approves supplier payment as needed. Utilizes process tools such as Lean Six Sigma with suppliers to drive quality, efficiency and continuous improvement.
**Basic Qualifications:**
+ A bachelor's degree and at least 10 years of contracts, supply chain, procurement, or related business experience -OR- a master's degree and at least 8 years of contracts, supply chain, procurement, or related business experience. May consider an additional 4 years of experience in lieu of the degree.
+ Knowledge of Indirect Commercial procurements, specifically related to construction or general facilities management.
+ Knowledge of the government acquisition process with a strong knowledge of Federal Acquisition Regulation (FAR) and agency supplements, such as Defense Federal Acquisition Regulations (DFARS), NASA (NFS).
+ Microsoft Office Suite (Word, Excel, Powerpoint).
+ Active DoD TS/SCI clearance required. US Citizenship is a prerequisite.
**Preferred Qualification:**
+ Experience with Deltek Costpoint.
+ Self-Starter with problem solving skills.
+ Ability to mentor other subcontract professionals of varying levels of experience.
**Salary Range:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Dulles, VAR10154644VirginiaVASenior Principal Supply Chain Subcontract Specialist- Dulles VA (TS/SCI Clearance Required)None1E8FEDCE332744169F68F9E25055B4AFhttp://northropgrumman-veterans.jobs/1E8FEDCE332744169F68F9E25055B4AF23BaltimoreNorthrop GrummanUnited StatesUSA2024-03-28 06:15:34At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Mission Systems (NGMS)** Sector is seeking a **Manager, Programs 3** to join their team in **Linthicum, MD** who support subcontract management within our exciting **Advanced Land Sensors (ALS) Operating Unit (OU)** . The OU includes the full rate production of the **Ground/Air Task-Oriented Radar (G/ATOR)** System, as well as logistics, sustainment, and development activities. This is an exciting opportunity to get into a high visibility role that works across the entire operating unit and across the full lifecyle, and is growing internationally.
This is a hybrid position - the selected candidate can work from home a few days a week, but is expected to be onsite at least 1 or 2 days.
**What You'll Get to Do:**
+ Functional manager and team lead responsible and accountable for the strategy, planning, and execution of major subcontract material and services content for a Program or collection of Programs.
+ Acts as the main focal point for the Program Management Office (PMO) for major subcontracts and coordinates cross-functionally to ensure that all supply chain commitments are met, and that appropriate mitigation plans are developed and executed to address any programmatic risks related to suppliers.
+ Develops the material strategy and leads the activities for the major subcontract supplier management team in all phases of the Business Acquisition Process (BAP).
+ Ensures that prior to program execution, all elements of the proposed program(s) plans have complete and accurate schedules, budgets, make/buy plans, and procurement and subcontracts strategies.
+ Leads major subcontract Program execution and is accountable for resource planning, material cost, forecasting, schedule performance, risk/opportunity management, supplier performance, and supply chain issue resolution.
+ Briefs various Program Manager peers and executive management on the status and issues affecting Program cost and schedule performance.
+ Collaboration with strategic sourcing organization to create a sourcing strategy for international pursuits specific to the OU.
Additional Responsibilities:
Focal point for managing Program Major Subcontracts throughout the Program life cycle from pursuit to contract close out. The selected candidate will be responsible for the following:
+ Supply Chain Management strategy, demand planning, BOM maintenance, coordination w/ logistics and procurement stakeholders, developing a Material Program Plan (MPP), and risk management.
+ Manage the performance of the integrated subcontractor cost, quality, & delivery.
+ Material risk management, including identification, and mitigation planning and execution.
+ Material budget development, sales forecasting, and cost (EAC) management; EVMS if applicable.
+ Cross functional coordination as it pertains to subcontract management, specifically with Supplier Quality Engineering (SQE), Procurement, and the IPT.
+ Briefs Program and Executive management and Customer on the status and issues affecting Supplier performance and cost.
**Basic Qualifications:**
+ Bachelor's Degree with 10+ years', Master's Degree with 8+ years' of experience supporting U.S. Government contracts and customers and/or project management in other industries.
+ Experience leading the performance of tasks on schedule, at cost and achieving all requirements as the project, technical, or manufacturing lead.
+ Strong understanding of a variety of contract types (i.e. Firm Fixed Price and Cost Plus) and impact to business objectives.
+ Understanding of Earned Value Management Systems (EVMS).
+ Experience with supplier management.
+ SAP experience.
+ Knowledge of FAR and agency supplements.
+ Ability to travel up to 25%.
+ US Citizenship.
+ Ability to obtain and maintain a DoD Secret Security Clearance.
**Preferred Qualifications:**
+ Supply Chain Planning Experience.
+ Direct experience interfacing with leadership.
+ Active DoD Secret clearance (or higher) that is in-scope (granted or renewed in the last 6 years).
+ Ability to obtain a Top Secret/SCI security clearance.
**Salary Range:** $172,500 - $258,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10154456MarylandMDManager Programs 3 - Product Support ManagerNone69133B2B885A45D280A68EC2CE125E54http://northropgrumman-veterans.jobs/69133B2B885A45D280A68EC2CE125E5423PalmdaleNorthrop GrummanUnited StatesUSA2024-03-28 06:15:34At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems is looking for a Manager of Quality 2 for the Palmdale, CA location.
Responsibilities include:
+ Responsible for the project performance planning, risk management, technical quality, cost, schedule and factory accountability.
+ Develops, modifies, applies and maintains quality evaluation and control systems and protocols for processing materials into partially finished or finished materials product.
+ Collaborates with engineering and manufacturing functions to ensure quality standards are in place.
+ Devises and implements methods and procedures for inspecting, testing and evaluating the precision and accuracy of products and production equipment.
+ Designs and analyzes inspection and testing processes, mechanisms and equipment; conducts quality assurance tests; and performs statistical analysis to assess the cost of and determine the responsibility for, products or materials that do not meet required standards and specifications.
+ Audits quality systems for deficiency identification and correction.
+ Ensures that corrective measures meet acceptable reliability standards and that documentation is aligned with requirements.
+ Act with agility to business needs and interact in collaborative way to empower diverse, cross functional teams, including both internal and external members to achieve positive business results, including operating decisions, scheduling requirements and contractual clarification.
+ May specialize in the areas of design, incoming material, production control, product evaluation and reliability, inventory control and/or research and development as they apply to product or process quality.
+ May be certified in lean and six-sigma quality engineering methodologies.
**Basic Qualifications:**
+ Bachelors degree with a minimum of 5 years of experience in the Aircraft, Aerospace or Manufacturing Industries in a Quality Environment, or a High School Diploma with a minimum of 9 years of experience in lieu of degree
+ 2-3 years of Leadership/ Supervisory or Lead experience
+ Must have ability to work flexible schedule 1st or 2nd shift
+ Active DoD Secret Clearance with the ability to obtain Special Program Access
**Preferred Qualifications** :
+ Strong orientation to change in a dynamic environment
+ Sophisticated level of understanding of complex quality policies, procedures, governmental regulations and work flow
+ Ability to analyze alternative courses of action where decisions have risk/reward implications for program or area of influence
**Salary Range:** $125,500 - $188,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10154514CaliforniaCAManager Quality 2None76E57DBF7F8B4EE88AC82534AE4A0269http://northropgrumman-veterans.jobs/76E57DBF7F8B4EE88AC82534AE4A026923RoyNorthrop GrummanUnited StatesUSA2024-03-28 06:15:34At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Manager Construction Projects 2** . This positio n is located i n **Roy , UT** and supports the Sentinel program .
**This role may offer a competitive relocation assistance package.**
**What You'll Get To Do:**
+ Manage Project Controls for one or more projects, including project planning, scheduling, cost tracking, performance measurement, and reporting in an EVMS environment.
+ Develop WBS and project cost coding structures.
+ Utilize project management systems and tools to update and manage project budgets, commitments, expenditures, and forecasts.
+ Develop and maintain cost loaded or resource loaded schedules using critical path methodology .
+ Conduct project performance analysis using industry standard earned value management processes and techniques.
+ Support project controls reporting requirements for weekly and monthly status reporting;
+ Maintain project budgets and forecast spending plans.
+ Prepare labor and subcontractor commitment analysis reports.
+ Prepare program and project cash flow analysis.
+ Develop and maintain project accrual reports.
+ Provide support for proposals and project change requests to include schedule development. estimate development, pricing proposals, and client negotiations.
+ Lead administrative and document control support across multiple construction projects in execution.
**Position Benefits:**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
- Medical, Dental & Vision coverage
- 401k
- Educational Assistance
- Life Insurance
- Employee Assistance Programs & Work/Life Solutions
- Paid Time Off
- Health & Wellness Resources
- Employee Discounts
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Job Qualifications:**
**You'll Bring These Qualifications:**
+ Bachelor's Degree with 6 years of experience; or Master's D egree with 4 years of experience; or PhD with 1 year of experience .
+ Must be a US Citizen and be able to obtain a D o D Secret Security Clearance .
+ 1 year experience in project controls supporting federal construction or engineering contracts.
+ 1 year experience leading a project and/or driving performance against schedule.
+ 1 year experience showing proficiency in MS Project, P6 and/or other construction scheduling software.
+ 1 year experience with Earned Value Management System principles.
**These Qualifications Would be Nice to Have:**
+ 9 years of experience with a focus on Business Management/Finance with experience in construction management
+ Master's Degree.
+ Proficiency with Primavera P6.
+ Experience in corporate financial management , including auditing experience .
+ Formal professional certification (PMI, AACE, etc.).
+ Ability to work both independently and as part of a team.
+ Excellent written and verbal communication skills and strong interpersonal skills.
+ Strong organizational skills.
+ Experience with Earned Value Management on federal cost reimbursable design and construction contracts.
\#GBSDLeadership
**Salary Range:** $108,400 - $162,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10154599UtahUTSentinel (GBSD) Manager Construction Projects 2 (Field Ops) (7996)None9CBEECDB00AF40868B43EE2FD3F69481http://northropgrumman-veterans.jobs/9CBEECDB00AF40868B43EE2FD3F6948123MelbourneNorthrop GrummanUnited StatesUSA2024-03-28 06:15:34At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aerospace Systems has an opening for a **Manager, Software Engineering 2** to join our team of qualified, diverse individuals. This position will be located onsite in **Melbourne, Florida** .
This exciting position offers the opportunity to manage a team and contribute to design, develop, integrate, and test software applications and systems for our end-user customers and businesses. Specifically, you will be responsible for leading a team working on software design, architecture, development using software engineering best practices and standards throughout the full software development life cycle including requirement development, modeling and design, application development, unit to CSCI testing, integration, formal system testing, release, installation, and maintenance.
You will collaborate across multi-disciplinary teams, such as with Systems Engineering, Embedded & Real-Time Software, Test Automation, DevOps, and Systems Test, in an Agile software development environment. You will oversee and review system capabilities that resolve problems on program intent, output requirements, input data acquisition, programming techniques and controls.
**Essential Functions:**
+ Manage and lead a team in a fast-paced environment with high expectations, significantly diverse assignments, and collaborative team settings across all levels.
+ Responsible to participate in planning and program performance addressing cost, schedule, technical performance, and quality of a work package, subsystem, or related group of work packages on a large system development-type contract or full responsibility for all aspects of program performance on a large delivery order or mid-size technical services-type contract.
+ Manage workflow requests from other product leads within the Software IPT, as well as product leads from other IPTs.
+ Collaborate on Agile Scrum teams to deliver software development life cycle of software products for multiple software baselines.
+ Responsible for managing staffing and reporting status and metrics to IPT and Functional leadership.
+ Provide functional management to direct reports, including career guidance, staffing, interviewing/onboarding, disciplinary actions, and direct engagement.
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement, and respond vigorously to change.
**Basic Qualifications:**
+ Must have a Bachelor's Degree in a Science, Technology, Engineering or Mathematics (STEM) field AND 9 years of related professional/military experience that includes a background in software development, OR a STEM Master's Degree AND 7 years of related professional/military experience that includes a background in software development.
+ Experience with the full Software Development Life Cycle (SDLC).
+ Experience with at least two of the following programming or scripting languages: C, C++, and Python.
+ Experience with implementing, integrating, and debugging embedded software architectures.
+ Experience leading and managing technical teams.
+ Must have an active DoD Secret or higher clearance.
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance prior to the commencement of employment.
**Preferred Qualifications**
+ Developing technical solutions in an Agile or Scaled Agile Environment
+ Experience with Software Change Control, Change Management, and CI/CD tools such as: Atlassian tool suite, GitHub, GitLab, AND/OR Jenkins.
+ Experience architecting, designing, modeling, implementing, integrating, and debugging OO C++ software running on Real-Time Operating systems such as: VxWorks, Integrity, AND/OR Real Time Embedded Linux.
+ Experience developing safety-critical C++ software.
+ Experience with Software Requirement Specification Testing using automated scripting languages such as Python, MATLAB, JavaScript, AND/OR Bash.
+ Excellent communication, interpersonal skills, and the ability to interface with all levels of employees and management.
+ Demonstrated ability to build diverse/inclusive teams with engaged team members.
+ Demonstrated mentoring and coaching skills, and excellent 2-way communication skills (upward and downward).
+ Experience leading a team of 12 employees with a record of on-time performance.
+ Time management and organizational skills to prioritize across concurrent program and functional responsibilities.
+ Experience with task/resource planning, development of BOEs, IMS and IFC planning.
**Salary Range:** $131,200 - $196,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10154668FloridaFLManager Software Engineering 2NoneE50DA7245001409CB438603B9ACD7709http://northropgrumman-veterans.jobs/E50DA7245001409CB438603B9ACD770923San DiegoNorthrop GrummanUnited StatesUSA2024-03-28 06:15:33At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems** has an opening for either a **Level 3 Program Control Analyst** to join our team of qualified, diverse individuals. This position will be located on site in **San Diego, CA** to support the RQ-4 Program. **This position requires the ability to work on site weekly in Rancho Bernardo with a hybrid telework schedule.**
This Program Control Analyst position will interface with the program managers, functional management, and cost account managers (CAMs) to provide financial and administrative support and analysis to meet program requirements. Candidate will gain valuable experience and knowledge with Earned Value and performance measurement baseline concepts and be able to apply them to multiple contracts for control accounts within an engineering Integrated Product Team (IPT).
**Earned Value Management (EVM) tasks include:**
Establishment of Work Breakdown Structure for execution of budgeted cost of work scheduled, assessment and maintenance of objective performance criteria, maintenance and justifications of estimates to complete, and support to internal and external reporting requirements for variance analysis. As well as, budget baseline, funding analysis, cost risk analysis/assessment and visibility reports, preparation of government cost performance reports and preparation and/or review of performance measurement variance analysis as well as development and analysis of estimates to complete.
This is a fast paced high energy environment that requires a self-motivated employee to join the team. The ideal candidate will possess the following traits and abilities:
+ Ability to use financial systems, to learn basic understanding of EVMS principles and hands on cost performance reporting/analysis skills
+ Develop and implement solutions of moderate scope and complexity;
+ Analyze variances/trends and develop new methods and process techniques; Work under very general supervision while completing numerous assignments per schedule and elevating potential issues to ensure proper management focus;
+ Exert some influence on peers and internal customers; good interpersonal skills while representing the group on special projects outside of own group.
This Program Control Analyst duties could include; support the development of budget baselines and maintain cost/schedule integration; support preparation of budgets, schedules, and ETC/EAC; perform and/or assist in financial performance analyses and visibility reporting; assist in the preparation and submittal of monthly CDRLs such as IPMR; support variance analysis reports; facilitate and support program monthly rhythm process, incorporate baseline changes into EVM system while utilizing Microframe Project Manager (MPM), SAP, Business Warehouse (BW), wInsight, MS Excel/Project/Powerpoint.
**Basic Qualifications:**
+ Bachelor's degree in Business Administration, Finance, Accounting with 5 years experience in financial analysis or industry related fields OR Master's degree in Business Administration, Finance, Accounting with with 3 years experience in financial analysis or industry related fields
+ Proficient in Microsoft Office (Excel and PowerPoint)
+ Experience in Government Contracting with Earned Value Management (EVM)
+ Financial forecasting experience with EVMS or government cost reporting
+ Ability to work on site weekly in Rancho Bernardo with a hybrid telework schedule
**Preferred Qualifications** ;
+ Understanding of Business Systems (SAP/Cobra)
+ Ability to obtain and maintain a DOD Secret Clearance within a reasonable period of time, as determined by the company to meet its business needs
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly collaborative workplace. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do. Does this sound like you?
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself! Every ERG is inclusive of all employees!
At Northrop Grumman, we are innovating-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Salary Range:** $88,700 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10154006CaliforniaCAPrincipal Program Cost and Schedule Control AnalystNone270E250300E74C278AF49BFEF356A9FFhttp://northropgrumman-veterans.jobs/270E250300E74C278AF49BFEF356A9FF23CorinneNorthrop GrummanUnited StatesUSA2024-03-28 06:15:33At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Space Systems - Propulsion Division is seeking a **Sr. Principal Aeronautical Engineer** to join our team. This position will be located at our **Promontory, UT** facility.
**Role description & Responsibilities:**
This Aeronautical Engineer position involves thermal analysis of rocket motor nozzles, igniters, and other components. Engineer will work in a team environment to help design motor thermal protection systems, and to verify compliance to design requirements. Analysts are involved in all aspects of thermal modeling at Propulsion Systems, including extensive thermal analysis support during motor development, definition of analysis methods and tools, motor production support, and characterization of material thermal properties. Work is performed using multiple in-house and commercial thermal analysis codes. Experience in the thermal/fluids discipline and in working with numerical methods is essential.
**Job Duties:**
+ Analyze and define thermal protection systems for solid rocket motors
+ Define boundary conditions and develop approaches for thermal analysis of rocked motor components
+ Define and apply models to predict in-depth thermal response
+ Prepare technical presentations and reports related to work performed
+ Master and help to maintain technical standards related to thermal/fluids analyses
**Experience Requirements:**
+ MS degree
+ Ability to obtain a security clearance
+ Knowledge of the thermal/fluids discipline with a focus on computational methods
**Experience Preferred:**
+ Computational experience with thermal analysis
+ Experience with grid generation and CAD
**Skills & Qualifications:**
+ Strong application skills analytical software, including geometry preparation and grid generation
+ Strong communication skills with the ability to clearly present technical information in both written and oral formats
+ Team oriented with a proactive approach to problem solving
**Education/Certifications**
+ MS degree in Mechanical or Aerospace Engineering required and 7 years experience
+ U.S. Citizenship is required
**Salary Range:** $114,900 - $172,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Corinne, UTR10154549UtahUTSr. Principal Aeronautical EngineerNone3B7E2E654A944FC7987F3A116F91FB01http://northropgrumman-veterans.jobs/3B7E2E654A944FC7987F3A116F91FB0123BaltimoreNorthrop GrummanUnited StatesUSA2024-03-28 06:15:32At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Mission Systems (NGMS)** is seeking a dedicated **Sr. Principal Quality Engineer** to join our team in **Baltimore, MD.**
**What You'll Get to Do:**
The Sr. Principal Quality Engineer develops, modifies, applies and maintains quality evaluation and control systems and protocols for processing materials into partially finished or finished materials product. Collaborates with engineering and manufacturing functions to ensure quality standards are in place. Devises and implements methods and procedures for inspecting, testing and evaluating the precision and accuracy of products and production equipment. Designs and analyzes inspection and testing processes, mechanisms and equipment; conducts quality assurance tests; and performs statistical analysis to assess the cost of and determine the responsibility for, products or materials that do not meet required standards and specifications. Audits quality systems for deficiency identification and correction. Ensures that corrective measures meet acceptable reliability standards and that documentation is compliant with requirements. May specialize in the areas of design, incoming material, production control, product evaluation and reliability, inventory control and/or research and development as they apply to product or process quality. May be certified in lean and six-sigma quality engineering methodologies.
**Basic Qualifications:**
+ Bachelor's of Science Degree in a STEM (Science, Technology, Engineering, and Mathematics) related field with 9+ years of relevant experience; 7+ Years with Masters; or 4+ Years with PhD.
+ Excellent written and verbal interpersonal, communication skills and confidence to brief senior management.
+ Foundation in Quality and Mission Excellence applications.
+ Ability to work in and lead cross-functional teams to resolve problems or issues.
+ Working knowledge of SAP, ISE and CADSTAR.
+ Ability to obtain and maintain a Secret clearance which requires US citizenship.
**Preferred Qualifications:**
+ Familiarity with dispositioning hardware for quality related issues in a manufacturing facility.
+ Familiarity with Material Review Board (MRB) process.
+ Familiarity with electrical or mechanical design requirements and specifications.
+ Green/Black Belt Certified in Lean Six-sigma.
+ Data Analytics and Statistical Analysis methods and techniques; Ability to perform quality-related data analysis.
+ Experience using Microsoft Excel, Minitab, Tableau or equivalent tools for statistical analysis.
+ Familiarity with Root Cause Corrective Action (RCCA) techniques.
+ IPC-A-610 Certified IPC Specialist (CIS) and/or MIL-STD-883 Certified.
+ Familiarity with IPC-WHMA-A-620 and IPC-J-STD-001.
+ SAP familiarity with Quality Notifications, Production Orders and Purchase Orders.
+ Experience with ISO9001 or AS9100 Quality Management System and AS9102 First Article Inspections (FAI).
+ Strong working knowledge of Factory processes and procedures across the entire factory.
+ Working knowledge of inspection tools, methods and techniques used for hardware reviews, validation and inspections.
+ Ability to identify weakness and areas of non-compliance in order to provide continuous improvement to existing methods, processes and procedures.
**Salary Range:** $115,400 - $173,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10154127MarylandMDSr Principal Quality EngineerNone39C38044DB7744788738C4B327DFB503http://northropgrumman-veterans.jobs/39C38044DB7744788738C4B327DFB50323GilbertNorthrop GrummanUnited StatesUSA2024-03-28 06:15:32At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman needs Electromagnetic Environmental Effects (E3) engineers to team up and help lead the E3 design, development, and analysis of complex electronic products for space systems.
At Northrop Grumman Space Systems E3 engineering is the impact of the electromagnetic environment upon the operational capability of components, assemblies, equipment, subsystems, systems, and other space platforms. E3 covers all electromagnetic disciplines, including electromagnetic compatibility (EMC); electromagnetic interference (EMI); electromagnetic vulnerability (EMV); electromagnetic pulse (EMP); electrostatic discharge (ESD); electronic protection; hazards of electromagnetic radiation to personnel, ordnance, and volatile materials; and natural phenomena effects such as lightning and p-static.
This position can be performed in either our Gilbert, AZ or Dulles, VA location
**Responsibilities:**
+ Electromagnetic Environmental Effects (E3) engineers must work across diverse engineering disciplines in support of multiple space system programs. Northrop Grumman E3 engineers deliver valued oversight across all phases of a program from origin to orbit. To meet these challenges at Northrop Grumman an E3 engineer must have a strong aptitude for learning new and exciting innovations and a strong E3 skill set.
+ Writing, interpreting, allocating, tailoring, and flowing down E3 requirements to all design levels.
+ Present at design reviews to internal and external customers regarding E3 issues.
+ Writing E3 design guidelines, developing models and performing analyses on components, subsystems and systems using EMI principles.
+ Designing ground systems, chassis and harness shielding, EMI filters, and selecting and laying out interface circuitry for achieving EMC.
+ Prepare and review E3 test procedures, E3 test reports, E3 technical analyses, E3 control plans, and participate in cross discipline design reviews for EMC.
**Basic Qualifications:**
+ Bachelor's Degree in Electrical Engineering or a STEM discipline with 14 years of experience.
+ Working knowledge of MIL-STD 461, MIL-STD 464, NASA-GEVS-7000 and other E3 space requirement standards.
+ Demonstrated ability to successfully allocate, tailor, and flowdown system level E3 requirements into lower-level requirements.
+ Extensive E3 design and analysis experience.
+ Working knowledge of lab test equipment such as spectrum analyzers, generators, amplifiers, and measurement antennas.
+ Must have US Citizenship with the ability to obtain a Top Secret/SCI Clearance
**Preferred Qualifications:**
+ Master's degree in Electrical Engineering, with a specialty in Electromagnetics, RF, or analog circuitry is preferred.
+ Current or active Top Secret Clearance with existing SCI eligibility
+ Experience with defense and aerospace industry practices, and programmatic skills supporting BOE, RFP, ROM, CAM, WBS, Risk assessments for E3 compliance.
+ Design experience with EMI filters, circuit layout and analysis for E3, shielding, lightning protection, cable, and harnessing design.
+ Working knowledge and experience in modeling and simulation of E3 using commercially available software.
**Salary Range:** $142,500 - $247,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Gilbert, AZR10154582ArizonaAZStaff EMI/EMC Systems Engineer - Satellite/Space SystemsNone50D5F126A1ED480AA6286DB0A00EB2AChttp://northropgrumman-veterans.jobs/50D5F126A1ED480AA6286DB0A00EB2AC23YigoNorthrop GrummanUnited StatesUSA2024-03-28 06:15:32At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Cybersecurity Professionals, We Want You!**
Cyber assets everywhere are under siege from a wide spectrum of threats. Almost daily, these threats grow in sophistication, breadth and speed.
At Northrop Grumman, we take a holistic approach to cybersecurity, looking at the whole cyber landscape of people, processes and technology and the whole security realm of offense, defense, and exploitation. Thought leadership demands nothing less.
If you are an expert with classified computers within the Department of Defense (DoD) and Intelligence Community computing environments, Northrop Grumman Corporation has fantastic opportunities for your career growth.
We are seeking novice level **Information Systems Security Professionals** across the country to support information systems lifecycle activities. The selected candidate will be required to work on-site, full-time at our Guam location. The individual will perform the following duties on a day-to-day basis in support of the program:
+ Perform assessments of systems and networks within the networking environment or enclave and identify where those systems and networks deviate from acceptable configurations, enclave policy, or local policy.
+ Establishes strict program control processes to ensure mitigation of risks and supports obtaining certification and accreditation of systems.
+ Includes support of process, analysis, coordination, security certification test, security documentation, as well as investigations, software research, hardware introduction and release, emerging technology research inspections and periodic audits.
+ Assist in the implementation of the required government policy, make recommendations on process tailoring, participate in and document process activities.
+ Perform analyses to validate established security requirements and to recommend additional security requirements and safeguards.
+ Support the formal Security Test and Evaluation (ST&E) required by each government accrediting authority through pre-test preparations, participation in the tests, analysis of the results and preparation of required reports.
+ Document the results of Certification and Accreditation activities and technical or coordination activity and prepare the system Security Plans and update the Plan of Actions and Milestones POA&M.
+ Periodically conduct a complete review of each system's audits and monitor corrective actions until all actions are closed.
Note: Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
**Basic Qualifications:**
+ Master's degree with 0 years of relevant experience OR a Bachelor's degree with 2 years of relevant experience OR an Associate's degree with 4 years of relevant experience OR a High School diploma or equivalent with 6 years of relevant experience
+ DoD 8570 IAM level I (or higher) security certification (Examples: CND, Cloud+, Security+ CE)
+ Active DoD Top Secret level security clearance
+ Must have the ability to obtain and maintain access to Special Programs as condition of continued employment
**Preferred Qualifications:**
+ Bachelor's degree in Cyber Security
+ Active DoD 8570 IAM level I: Security+ Active DoD Top Secret clearance
+ SAP/SAR Access
+ 3 years of experience with Certification & Accreditation of classified systems under RMF (Risk Management Frameworks)
+ Knowledge of ACAS, NESSUS, SPLUNK, SCAP, POA&Ms, NIST, JSIG, system audits, vulnerability scanning, and/or RMF package development
ESCSO
ESCyberInfoSec
**Salary Range:** $79,300 - $118,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Yigo, GUR10154362GuamGUClassified Cybersecurity Analyst - Top SecretNone58A53658A8BC422EA0BA143125EDB602http://northropgrumman-veterans.jobs/58A53658A8BC422EA0BA143125EDB60223MelbourneNorthrop GrummanUnited StatesUSA2024-03-28 06:15:31At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems** has an opening for an **Associate Configuration Analyst** to join our **Global Surveillance Division** supporting a team of qualified, diverse individuals. **This position will be located in Melbourne, Florida.**
Responsibilities will include working with internal business partners to gather requirements, prototyping, and creating complex solutions supporting the building and test plan execution, performing quality reviews, and triaging and fixing operational issues. You will have the opportunity to become the subject matter expert for various subsystems of the aircraft and contribute to the overall design of the software.
Plans and coordinates preparation of project documentation, such as engineering drawings, production specifications and schedules, and contract modifications, to ensure customer contract requirements are met. Reviews contract to determine documentation required for each phase of project, applying knowledge of engineering and manufacturing processes. Analyzes proposed changes of product design to determine effect on overall product and system. Coordinates modification records for management control. Establishes change orders and prepares for change authorization and documentation by company and subcontractor. Reviews and analyzes released engineering change data and coordinates changes with engineering, quality, support, manufacturing, and engineering data control activities.
Knowledge, Skills and Ability to use and/or application of principles, theories, and concepts. Knowledge of industry practices and standards. Demonstrates the skill and ability to perform professional tasks. Problem Solving skills that develops solutions to a variety of problems of moderate scope and complexity. Discretion/Latitude to work under only very general supervision. Work is reviewed for soundness of judgment and overall adequacy and accuracy. Impact that contributes to the completion of organizational projects and goals. Errors in judgment or failure to achieve results would normally be detected in supervisory oversight. Liaison which has frequent internal company contacts. Contacts are primarily with immediate supervisor, and other personnel in the section or group. Represents section or group on specific projects.
The ideal candidate will have the ability to take ownership of hard problems and drive to a solution, while working in a team environment and provide leadership for its implementation. It is critical that you understand the software development life cycle and have an in-depth knowledge of automated testing to facilitate and analyze large data sets. The analyst will work closely with the software engineers participating in the full life cycle of software development, to include requirements development, modeling and design, application development, unit to CSCI testing, integration, formal system testing, release, installation, and maintenance. The analyst will apply technical expertise in solving complex integration and automation problems. Providing design and implementation solutions that meet the requirements of the program. The analyst will interact with cross discipline teams, program management, and on occasion government customers. Excellent verbal, written and interpersonal skills are required. Experience working with python and other scripting languages within the Linux environment is required.
**Essential Functions:**
• Understanding of the full software lifecycle. (Design, implementation, and unit testing of software)
• Experience working with continuous integration and continuous development CI/CD.
• Work with various team members to automate and integrate functionality with other software environment.
• Experience with automation of scheduled jobs to reduce labor intensive tasks. (i.e. program and script build and test plans with artifact generation.)
• Experience with Atlassian application suite (Jira, Confluence, Bamboo, Bitbucket)
• Experience with other DevOps pipeline tools (e.g. Jenkins, Synopsis, SonaType)
• Experience in designing and developing release cycle and deployment operations.
• Experience scripting with some or all: YAML, JSON, Python, BASH, PowerShell
**Basic Qualifications:**
• Associate Configuration Analyst: 0 Years with bachelors. NOTE: Four (4) years of additional relevant experience may be substituted in lieu of degree.
• Demonstrated ability to meet tasking deadlines
• Experience with Microsoft Word and Excel
• An understanding of Markdown or other Wiki language
• Familiarity with Windows and Linux, ability to run scripts on Windows and Linux
• Strong communication skills in English, both verbal and written, with the ability to understand and translate technical instructions
• Experience with developing automation solutions supporting the CI/CD pipeline.
• Experience configuring RedHat Linux and Microsoft Windows within a secure environment.
• Experience using python and other scripting languages in a development environment.
• Familiarity with the Atlassian tool suite, and plugins, Git and Confluence.
• The ability to obtain and maintain a DoD Secret level security clearance and special program access
**Preferred Qualifications:**
• Master's degree within a technical field with relevant software experience
• 2+ years of experience in Build & Release principles and technical implementations
• 2+ years of experience in automation applications and frameworks
• Familiarity with git, Jira, and other Atlassian tool suite
• Experience editing modules in DOORs and the writing of requirements
• Familiarity with Nexus or other artifact management programs
• Training with formal test events and the writing of test procedures
• Light scripting in Word/Excel Macros, python, bash or other scripting language to automate and improve efficiency of tasking
• Familiarity with the Agile software development process
**Salary Range:** $51,400 - $77,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10147760FloridaFLAssociate Configuration AnalystNone1C01A32A6C7E4269A6456D288B3EC6B0http://northropgrumman-veterans.jobs/1C01A32A6C7E4269A6456D288B3EC6B023Vandenberg AFBNorthrop GrummanUnited StatesUSA2024-03-28 06:15:31At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Principal/Sr. Principal Mission Assurance Engineer.** This position will be located in **Vandenberg SFB, CA** and will support the **Sentinel** (GBSD) program.
**This role may offer a competitive relocation assistance package** **.**
**What You'll Get** **To** **Do:**
+ Support MA functions to ensure mission success
+ Technical reviews of all GBSD drawings, SOW's, and Requirements documents as required. Perform or assure quality, risk management, safety, reliability, and maintainability of GBSD achievements.
+ Assess GBSD performance and risks and determine resources to ensure mission assurance.
+ Assist the Program Quality Managers (PQM) with all GBSD Mission Assurance/Program Management requirements.
+ Develop, implement, and maintain the GBSD Program Quality Plans.
+ Assign program tasks to the functional area PQE's.
+ Lead and facilitate GBSD program FRBs ensuring identification of root cause and proper implementation of corrective actions and reach back and reach across. Assist PQM in preparation and execution on of Program Preventive and Corrective Action Board (PCAB).
+ Develop and maintain GBSD Program Metrics.
+ Assist in the preparation of the Program Management Program Review packages.
+ Support PQM with GBSD Customer Corrective Action Requests. Support IPT MA & QE in Conditional Acceptance requirements and flow down.
+ Monitor timely closure of Conditionally.
+ Acceptance of hardware and work corrective actions with IPT MA & QEs.
+ Acceptance of functional and integrated test events.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ E mployee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**You'll Bring These Qualifications:**
Basic Qualifications:
+ **Principal Mission Assurance Engineer (T03):** A bachelor's degree and 5 years of Quality experience, OR a Master's degree and 3 years' related experience, OR a PhD Degree and 0 years' work experience.
+ **Sr. Principal Mission Assurance Engineer (T04):** A Bachelor's degree and 9 years of Quality experience, OR a Master's degree in a and 7 years' related experience, OR a PhD Degree and 4 years' related experience.
+ Note: an additional 4 years of relevant work experience may be considered in lieu of a degree.*Prior/retired military highly encouraged to apply*
+ U.S. Citizen with Active DoD Secret clearance with ability to obtain a Special Access Program Clearance
+ Knowledge of Quality Management Systems, (i.e., AS9100/ISO 9001)
+ Experience with Mission Assurance/Quality Assurance practices
**These Qualifications Would be Nice to Have:**
Preferred Qualifications:
+ Understanding of Model Based Systems Engineering concepts
+ Demonstrated ability prioritizing tasks and meeting deadlines
+ Excellent interpersonal and communication skills
+ Experience with manufacturing and test labs quality assurance processes
+ Experience with root cause corrective actions and MRB
+ Experience quality management systems to support program processes, audits, and improvement
+ Experience in Aerospace/Missile Systems/Sub-Systems design, development, test, or sustainment
+ Experience in process monitoring, data collection, analysis, and metric reporting for overall program and segments
+ Leading program PCAB and driving process improvements.
+ An Active Top Secret clearance
**Salary Range:** $107,300 - $160,900
**Salary Range 2:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Vandenberg Afb, CAR10154344CaliforniaCAPrincipal/Sr Principal Engineer Mission Assurance - 4023-1None8A35886EE7F84B518B72D3ACB358217Bhttp://northropgrumman-veterans.jobs/8A35886EE7F84B518B72D3ACB358217B23Oklahoma CityNorthrop GrummanUnited StatesUSA2024-03-28 06:15:31At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Our Platform Laboratory Systems & Design (PLSD) group is seeking an **Electronics Engineer/Principal Electronics Engineer (Level 2/3)** to join our team of qualified and diverse individuals in Oklahoma City, OK. You will become part of a Northrop Grumman team of professionals who are actively developing Avionics Testing Solutions and Specialized Test Equipment for the B-2 Stealth Bomber and LGM-35A Sentinel Missile platforms.
**Responsibilities:**
+ Design and define system architecture for new or existing complex integrated test systems
+ Coordinates design of subsystems and integration of total systems in a laboratory environment
+ Oversight and ownership of engineering design as well as 2D drawing formats and standards for a wide array of electrical products:
+ Cable assemblies
+ Wiring diagrams
+ System interconnects
+ Network interconnects
+ Circuit Card Assemblies
+ Development and coordination for internal and customer design reviews for the electrical and system level designs of our products
+ Assist in troubleshooting of lab equipment as failures occur
+ Coordination with engineering manager(s) to ensure product technical data packages meet project schedule commitments
+ Coordinate between several internal and external stakeholder groups (Software Development, System Test, Cyber Operations, Procurement, etc.) to achieve contractual commitments
**Basic Qualifications:**
+ **Electronics Engineer:** Bachelor's degree in Electrical/Computer Engineering (or equivalent) with 2 years of related engineering experience; OR a Master's degree with 0 years of related engineering experience
+ **Principal Electronics Engineer:** Bachelor's degree in Electrical/Computer Engineering (or equivalent) with 5 years of related engineering experience; OR a Master's degree with 3 years of related engineering experience; OR a PhD with 0 years of related engineering experience
+ Ability to listen effectively, take direction, and possess initiative to accomplish assigned tasks and complete work in a timely fashion
+ Ability to read engineering drawings and specifications
+ Must be a U.S. Citizen with ability to obtain a DoD SECRET security clearance & special program access
+ Experience in Aerospace or Defense industry (development or test)
**Preferred Qualifications:**
+ Active DoD SECRET or higher security clearance with investigation in the last five (5) years
+ Active Program clearance
+ Master's Degree in Electrical/Computer Engineering or related discipline
+ Experience in Circuit Analysis & Digital/Analog Design
+ Experience in Signal I/O Interfacing & Distribution
+ Experience in Voltage/Resistance/Capacitance/Isolation Testing
+ Experience in Power Distribution Systems
+ Experience with HW/SW Integration
+ Experience in Instrumentation or Avionics Systems
+ Experience in Nuclear Surety
+ Experience in an Agile development environment
+ Experience leading multi-disciplinary technical teams
+ Experience with any of the following CAD Software Tools and Data Management Products: CATIA, SolidWorks Mechanical/PDM, Siemens NX/Capital, SEE Electrical Expert, Microsoft Visio, National Instruments LabView, SmarTeam, Team Center
**Salary Range:** $87,800 - $131,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Oklahoma City, OKR10154394OklahomaOKPrincipal Electronics Engineer- OKCNoneDE136048595945949EA8FA047D5A0C7Chttp://northropgrumman-veterans.jobs/DE136048595945949EA8FA047D5A0C7C23AuroraNorthrop GrummanUnited StatesUSA2024-03-28 06:15:30At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
Northrop Grumman Space Systems Sector is looking for you to join our team as a Principal or Sr. Principal Software Engineer based out of Aurora, CO.
**What You'll get to Do:** We are looking for a Software Engineer and technical leader experienced in Java (as your primary and most skilled in Object-Orientated programming language) who wants to work on an agile development team using modern development practices tackling both new development and operational support of systems that advance National Security. Our Aurora campus has numerous programs in all phases on the development and operational lifecycle, from smaller single scrum team efforts to larger multi-team efforts, some that leverage remote teams from other Northrop Grumman sites. Many of our programs are using a micro-service event driven architecture using Docker Containers, Kubernetes, Helm charts and AWS services. Programs also in Operations have maintenance and sustainment engineering needs on existing deployed baselines which may require troubleshooting and programming in C++/C. Many programs have a mixture of other languages in use such as C++, Python or JavaScript. This need is specifically for an internal product line project which is used on no less than four other NG programs and expanding.
**About our organization:** As a Software Engineer, you'll be joining our growing Aurora Software and Digital Engineering department which has 200+ Software Engineer, DevOps Engineer, Systems Administrator, Database Administrator, and Network Engineer peers from entry-level to the most senior chief engineers and architects. We have plenty of opportunity for career advancement into higher level technical roles or leadership positions. Our Software Department is part of a larger organization that includes Systems Engineering, Integration, and Test staff as well as a Hardware Engineering unit. This larger organization influences cross-program collaboration, professional development and training, as well as engagement and inclusion activities such as lunch-n-learns, campus events, and leadership mixers.
**Basic Qualifications:**
+ Principal SW Engineer: Bachelor's degree in Science, with 5+ years of software development experience; 3+ years with a Master's; 0 years with a PhD; or 4 additional years of experience may be considered in lieu of a degree.
+ Sr. Principal SW Engineer: Bachelor's degree in Science, with 9+ years of software development experience; 7+ years with a Master's; 4+ years with a PhD; or 4 additional years of experience may be considered in lieu of a degree.
+ Experience as a back-end, or full-stack developer
+ Experience developing on teams using Agile methodology, process, and tools
+ Experience designing, developing, and troubleshooting in **Java**
+ Experience implementing and optimizing data processing algorithms and techniques
+ Experience using object oriented design
+ Experience using version control software
+ **Must have a current Top Secret security clearance and the ability to qualify for an SCI, to be considered**
+ Must be able to obtain a Counterintelligence Polygraph (CI-Poly)
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Aurora, COR10154577ColoradoCOPrincipal/Sr. Principal Engineer SoftwareNone556BEEF483C94533A9010E6A3AFB6925http://northropgrumman-veterans.jobs/556BEEF483C94533A9010E6A3AFB692523CharlottesvilleNorthrop GrummanUnited StatesUSA2024-03-28 06:15:30At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Help shape the future working with the most advanced radar systems in the industry! Northrop Grumman's Mission Systems Sector is seeking a **Sr. Principal Automation Engineer** to be an instrumental part of Northrop Grumman's Advanced Manufacturing Team.
This position will initially be based in **Charlottesville, VA** for approximately 1-2 years and will then transition to the **Waynesboro, VA** facility.
**What You'll Get to Do** :
+ Lead the development and deployment of automation solutions for the factory
+ Report out return on investment and business value for deployed systems
+ Engage with design and manufacturing engineering to maximize throughput and quality
+ Maintain sensitivity to cost, schedule and quality as well as Northrop Grumman policies and procedures
+ Support our Manufacturing Equipment and Application Review process, helping to evaluate equipment for fit with our digitally connected factory of the future
+ Leverage Machine Learning and AI to enhance not just physical automation, but data automation as well
This role will require the ability to handle multiple projects concurrently in a dynamic/fast-paced work environment. Project Management skills will be utilized to properly scope and execute assigned work and manage project efforts.
**Basic Qualifications**
+ Bachelor of Science degree in a STEM (Science, Technology, Engineering, Math) discipline plus 9+ years of relevant experience; or a Master of Science degree in a STEM discipline plus or 7+ years of relevant experience
+ Experience using a CAD software such as NX, CATIA, Solidworks
+ Ability to interface/communicate with multiple levels of leadership, clients, and vendors
+ Experience with robotics and robotic programing
+ Experience within the aerospace, automotive, or production related industry and/or with automated systems/machines
+ Demonstrated ability to independently prioritize, plan and execute work tasks in a rapidly changing environment
+ Current, active Department of Defense (DoD) Secret Clearance with the ability to obtain a Special Access Program (SAP) clearance
+ U.S. citizenship
**Preferred Qualifications**
+ Assembly automation experience, troubleshooting design and installation experience
+ Experience in manufacturing simulation software such as Siemens Process Sim, Flex Sim, Siemens NX Line
+ Experience in IIOT (Industrial Internet of Things)
+ Experience using SAP and Manufacturing Execution Systems
+ Experience coordinating design and fabrication requirements for tools and fixtures with customers, both internal and external
+ Experience with computer vision and machine learning
**Salary Range:** $114,900 - $172,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Charlottesville, VAR10154333VirginiaVASr Principal Engineer Manufacturing SystemsNone8E3E542970D243ACB8F452828848CDCFhttp://northropgrumman-veterans.jobs/8E3E542970D243ACB8F452828848CDCF23Oklahoma CityNorthrop GrummanUnited StatesUSA2024-03-28 06:15:30At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
NGC is seeking a **Sr. Principal Mechanical Engineer (Level 4)** to join our team of qualified and diverse individuals in Oklahoma City, OK. You will become part of a Northrop Grumman team of professionals who are actively developing Avionics Testing Solutions and Specialized Test Equipment for the B-2 Stealth Bomber and LGM-35A Sentinel Missile platforms.
**Responsibilities:**
+ Oversight and ownership of engineering design as well as 2D/3D drawing formats and standards for a wide array of mechanical products:
+ Product details
+ Product assemblies
+ Test Bench/Bay assemblies
+ Top Level installations
+ Act as a Lead and help provide technical guidance and mentorship to junior design engineers
+ Development and coordination for internal and customer design reviews for the mechanical and system level designs of our products
+ Development of supporting documentation (operating / user manuals, etc.) for our products
+ Assist in troubleshooting of lab equipment as failures occur
+ Coordination with engineering manager(s) to ensure product technical data packages meet project schedule commitments
+ Coordinate between several internal and external stakeholder groups (Software Development, System Test, Cyber Operations, Procurement, etc.) to achieve contractual commitments
**Basic Qualifications:**
+ Must have a Bachelor's degree in Mechanical/Aerospace Engineering (or equivalent) with 9 years of related engineering experience; OR a Master's degree with 7 years of related engineering experience; OR a PhD with 5 years of related engineering experience
+ Ability to listen effectively, take direction, and possess initiative to accomplish assigned tasks and complete work in a timely fashion
+ Ability to read engineering drawings and specifications
+ Must be a U.S. Citizen with ability to obtain a DoD SECRET security clearance & special program access
**Preferred Qualifications:**
+ Active DoD SECRET or higher security clearance with investigation in the last five (5) years
+ Active Program clearance
+ Master's Degree in Mechanical/Aerospace Engineering
+ Experience leading multi-disciplinary technical teams
+ Experience in Aerospace or Defense industry (development or test)
+ Experience in Additive Manufacturing or 3D-Print design & technologies
+ Experience in an Agile development environment
+ Experience in Instrumentation or Avionics Systems
+ Experience with any of the following CAD Software Tools and Data Management Products: CATIA, SolidWorks Mechanical/PDM, Siemens NX/Capital, Enovia SmarTeam, Team Center, Ansys, Femap/Nastran/Patran
**Salary Range:** $99,000 - $148,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Oklahoma City, OKR10154392OklahomaOKSr. Principal Mechanical Engineer- OKCNone9CB63261DD6E48FA9E2EFCAE1C9D8AEFhttp://northropgrumman-veterans.jobs/9CB63261DD6E48FA9E2EFCAE1C9D8AEF23CorinneNorthrop GrummanUnited StatesUSA2024-03-28 06:15:29At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Propulsion Systems (PS) business unit is seeking a **Principal/Sr Principal Systems Software Analyst** (or similar STEM professional) to support Electronic Shop Instruction (ESI) and other custom software application development. The position will deploy, support, customize, and maintain the suite of commercial and custom applications used for production, testing, development, and research efforts.
The position will be a hybrid position based at either the **Promontory or Bacchus** **Utah** location.
**Responsibilities include:**
• Administer commercial and custom software.
• Maintain strong expertise and knowledge of current and emerging technologies and products related to manufacturing execution systems.
• Provide best practice recommendations and guidance to manage our custom software at scale.
• Ability to implement a configuration management solution.
• Development of reports using Microsoft Power BI.
• Analyze functional business applications and design specifications for functional areas and develop block diagrams and logic flow charts.
• Test, fix, and refine the computer software to produce the required products.
• Support software testing (manual or automated) based on end user and development team requirements.
• Update and maintain automated software testing system to ensure proper functionality as in-house software evolves.
• Support integration of ESI with other applications used by other PS organizations.
• Enhance software to reduce operating time or improve efficiency.
• Interfacing with engineering organizations to assure that our custom Electronic Shop Instruction system (ESI) is working in concert with engineering requirements.
**Educational Requirements:**
• Bachelor of Science degree in a STEM related discipline with five (5) or more years of experience; or Master of Science degree with three (3) years of professional experience; or Doctor of Philosophy degree
Position may be filled at a higher level requiring:
+ Bachelor of Science degree in a STEM related discipline with nine (9) or more years of experience; or Master of Science degree with seven (7) years of professional experience; or Doctor of Philosophy degree with four (4) years of professional experience.
**Basic Qualifications:**
• Knowledge of solid rocket motor manufacturing processes and use of computers in the manufacturing area.
• Ability to understand engineering configuration management rules and applying them to ESI data requirements.
• Experience configuring applications in Windows.
• Familiar with software testing methods.
• Ability to work well in a team environment and possess strong oral/written communication skills.
• Must be able to accurately represent customer requirements by using excellent listening, interpersonal, written, and oral communication skills.
• Client SW installation experience.
• Experienced in information system design and the development of complex software to satisfy design objectives.
• Experienced with supporting customer using software.
• Exposure to web-based software development or operations.
• Have a broad understanding of software development and customer support.
• Able to work in an operational environment where priorities change frequently.
• Proficient at gathering and interpreting relevant data and information.
**Preferred Qualifications:**
• Experience with Unix/Linux operating system.
• Experience with automated software testing.
• Familiar with JIRA and scrum development methodologies.
• Experience using and developing reports in Microsoft Power BI.
• SQL query experience.
• Knowledge of Siemens TeamCenter Unified.
• Experience with delivery of adhoc reports for system users.
• Knowledge of ePIC (Siemens TeamCenter Enterprise).
• Exposure to AR technologies.
• Software analysis and business process analysis experience.
**Travel Requirements:** 0-10%
**Salary Range:** $92,600 - $139,000
**Salary Range 2:** $114,900 - $172,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Corinne, UTR10153113UtahUTPrincipal/Sr Principal Systems Software AnalystNone4D4A4393CAE64AF78FD81E1F73135E69http://northropgrumman-veterans.jobs/4D4A4393CAE64AF78FD81E1F73135E6923New MaldenNorthrop GrummanUnited KingdomGBR2024-03-28 06:15:29**Your Opportunity to Define Possible** **.** **Our Opportunity t** **o Deliver the Nation's Security** **. Together.**
**Salary:** £58,000 - £80,000
**Role** **C** **learance** **Type:** **You must be able to gain and maintain the relevant UK Government clearance in line with the job role (SC)**
**Location:** Burlington House, New Malden, Kingston-Upon-Thames, London, UK.
**About Your Opportunity:**
In this role, you are a key member of the Defence Underwater Account who leads and develops a multi-disciplined System Engineering team through engagement, coaching and providing technical guidance to focus the team on the delivery of our programmes. You will supports the System Engineering Practice Lead to grow the technical capability of our people, processes and technology.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible and hybrid working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme -** exceptional performance is recognized through our annual incentive programme which is awarded to top performers who excel
+ **Career Development -** opportunity for ongoing professional development and career growth opportunities
**Your** **Responsibilities:**
**Mechanical Background**
+ Produce and review mechanical piece part drawings and General Assemblies (GA) using Creo Elements
+ Provide mechanical engineering support to the Mechanical Design Engineering team
+ Define and apply appropriate Geometric, Dimensioning and Tolerancing (GD&T) to mechanical designs
**Electrical background**
+ Produce electrical schematics using AutoCAD electrical
+ Provide electrical engineering support to the Electrical Design Engineering team
+ Develop component libraries to support schematics
+ Review electrical schematics in accordance with relevant standards and internal Computer Aided Design (CAD) processes.
**General Responsibilities**
+ Support the creation and management of Bills of Materials (BOM)
+ Define and apply appropriate standards, materials and finishes in accordance with project requirements
+ Maintain documents and drawings through development and production changes
+ Support schedule and work package estimates, where required
**Your Experience:**
+ 5+ years of experience as a Drawing Office Manager
+ Ability to work independently on own initiative, as well as within a collaborative team environment
+ Ability to work under pressure in a complex and rapidly changing environment
+ Experience of working within a regulated industry (e.g. automotive, aviation, defence, rail etc.)
+ Experience in the use of Windchill PLM
+ Understanding and working knowledge of Defence programs
**Your Future Team:**
"People are our most important asset. It's my role to ensure that we have empowered and independent engineers who can apply their skills and engineering thinking across our various programmes, delivering not only technical but professional solutions whilst enabling continuous learning both within and outside their functional skills base."
**Steve Mays - Engineering Authority - Under Water Battlespace @ Northrop Grumman**
We are a committed and passionate people working together achieve a common goal. We have a multi-disciplined collaborative and diverse team structure that delivers total solutions to our customers and provides an opportunity to build your knowledge, skills and experience. We are all very determined to solve the UKs most pressing technical challenges and are proud to deliver to a high quality standard to our customers.
We believe that creating a team that values diversity and fosters inclusion is essential to great performance and we are proud to deliver quality systems to our customers.
Diversity is at the heart of our success. Our team share experience, knowledge and new thinking gained from a wide range of backgrounds perspective, culture, gender, race, age and many other elements across several industries. We welcome candidates from all backgrounds and particularly from communities currently under-represented within our industry . We treat everyone with respect and foster safe and inclusive environments.
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And w ithin a respectful and inspirational environment, we value what you say and do.
**How to Apply:**
**Interested in our opportunity?**
**Yes** - then simply submit your application online. Your application will be reviewed by one of our expert recruiters who'll then respond advising you of the outcome and next steps for successful candidates.
**Possibly, I'd like to find out more** - email talentenquiries@uk.ngc.com to connect with one of our Sourcing Specialists who will be happy to support you with any enquires.
Background checks and potentially security clearance form part of the recruitment process, our team will inform you of the procedures when required.
**Northrop Grumman UK:**
Work with a global brand that makes a real contribution to our nation's security and future. At Northrop Grumman UK, the brightest minds come together to push the boundaries and Define Possible. As leaders in the digital transformation of Aerospace, Defence and Intelligence we are providing ground-breaking outcomes for our customers.
**UK Defence Business:**
Our UK Defence business is a Sovereign software and systems centre of excellence. As well as developing and supporting UK wide and internationally deployed multi-domain command and control systems, our work is critical to the modern backbone of the Royal Navy. We pioneer - with fierce curiosity, dedication, and innovation, we seek to solve the world's most challenging problems.
**Find out more** : https://www.northropgrumman.com/careers/job-search-united-kingdom/
\#LI-TP1
**\#LI-Hybrid**
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.New Malden, GBRR10154166Drawing/Design Office ManagerNone66A563D4F7E74592A3B71B81983F157Chttp://northropgrumman-veterans.jobs/66A563D4F7E74592A3B71B81983F157C23CharlottesvilleNorthrop GrummanUnited StatesUSA2024-03-28 06:15:29At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Help shape the future working with the most advanced radar systems in the industry! Northrop Grumman's Mission Systems Sector is seeking a **Sr. Principal Digital Transformation Chief Engineer** to be an instrumental part of Northrop Grumman's Advanced Manufacturing Team.
This position will initially be based in **Charlottesville, VA** for approximately 1-2 years and will then transition to the **Waynesboro, VA** facility.
**What You'll Get to Do** :
+ Create Digital Transformation (DT) solution roadmaps and manage deployments
+ Manage utilization of onsite augmented reality (AR) and virtual reality (VR) assets
+ Lead assessments for process feasibility and risk analysis of advanced manufacturing technologies
+ Report out return on investment and business value
+ Lead engagement and coordination with engineering and human factors teams
+ Support concurrent engineering, test producibility, testability, and maintainability as we design for operational requirements
+ Maintain sensitivity to cost, schedule and quality as well as Northrop Grumman policies and procedures
This role involves interfacing with internal and external customers, program offices, engineering disciplines, and executive management. In addition, the role will require the ability to handle multiple projects concurrently in a dynamic/fast-paced work environment. Budgeting and Project Management skills will be utilized to properly scope and execute assigned work and manage project efforts.
**Basic Qualifications**
+ Bachelor of Science degree in a STEM (Science, Technology, Engineering, Math) discipline plus 9 years of relevant experience; or a Master of Science degree in a STEM discipline plus or 7 years of relevant experience
+ Experience working with IPTs, other stakeholders, and various customers
+ Project / Program Management skills (proposal creation, scheduling, budget tracking)
+ Experience with production assembly/fabrication including Digital Transformation, Augmented Reality/Virtual Reality, Systems Integration, IIoT, RFID, and/or production manufacturing operations
+ Current, active Department of Defense (DoD) Secret Clearance with the ability to obtain a Special Access Program (SAP) clearance
+ U.S. citizenship
**Preferred Qualifications**
+ Experience implementing World Class Operations / Lean Six Sigma
+ Experience using Virtual Reality / Augmented Reality Hardware and Software
+ Experience organizing and executing technology demonstrations that include Virtual Reality / Augmented Reality systems and application
+ Experience using CAD software such as NX, CATIA, SolidWorks (Siemens NX preferred)
+ Experience using SAP and Manufacturing Execution Systems
+ Experience assessing maturity of new technology for transition to production
+ Experience in manufacturing simulation software such as Siemens Process Sim, Flex Sim, Siemens NX Line
+ Well-versed, skilled, and disciplined in system engineering processes
+ Experience in the development, integration, and deployment of network architecture and digital infrastructure
+ CAM experience
**Salary Range:** $114,900 - $172,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Charlottesville, VAR10154465VirginiaVASr Principal Chief EngineerNoneC3B4DBE6A95B43059368C0EDBF352B40http://northropgrumman-veterans.jobs/C3B4DBE6A95B43059368C0EDBF352B4023Edwards AFBNorthrop GrummanUnited StatesUSA2024-03-28 06:15:28At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for **Principal / Senior Principal Test Conductor - Flight Test Integration** to join our team of qualified, diverse individuals within our Test and Evaluation organization. This position will be located in **Edwards AFB, CA** .
**This requisition may be filled at either a Principal OR Sr. Principal level based on the qualifications listed, below**
In this role, the selected candidate will plan and schedule test and MX events, work with Flight Test Engineers, System Test Engineers, and Responsible Engineers (REs) to develop ground test procedures, perform test article operations - both on and off an active flight line - and maintain a test point data base within the Strike FTO team. They will plan, coordinate, and conduct test operations as an approved test conductor, to include Acceptance Test Procedures (ATPs), Special Check out Procedures (SCOPs), Sustainment Technical Order Certification and Verification (TO C&V), and Support Equipment Evaluations Testing (EET). The selected candidate will conduct briefs/debriefs and will lead our team through test missions as the link between the Maintenance team and the Mission Control Room Flight Test Engineering and Test Operations teams.
**Key Responsibilities:**
+ Plans, schedules, and reviews results of ATPs, SCOPs, TO C&V, and EET.
+ Provides support for post-test data analysis and documentation as required to support test objectives.
+ Flows back requirements for Data Module and Support Equipment design changes or procedure updates to the Product Support team.
+ Provides first line integration support for maintenance, repairs, and modifications to systems under test, supervision, and direction to maintenance personnel for maintenance, repairs, and modifications to systems under test.
+ Analyzes and interpret test data, provides recommendations to engineering and leadership for alternate means of compliance as required, and supports development and execution of test objectives.
+ Evaluate flight test field support requirements and recommend tools and test equipment to support long range program milestones.
+ Assists with the review of flight test practices, procedures and processes seeking avenues to improve efficiency and effectiveness and reduce risk to product quality.
+ Establishes equipment and calibration requirements for future sustainment of air vehicles. Integrates between flight test maintenance and operations organizations to ensure parts, people and processes are in place for maintenance tasks and flight test missions.
+ Assesses program performance and risks and determines resources to ensure mission assurance. Works closely with the department managers and program managers to define and implement the program strategy.
**The selected Candidate must be willing to work various shifts depending on the business needs: 4x10 schedule (Mon-Thurs) 1st shift; 4x10 (Mon-Thurs) 2nd shift; 3x10 schedule (Fri, Sa, Su) 2nd shift; 3x10 schedule (Fri, Sa, Su) 1st shift.**
**Basic Qualifications (Principal):**
+ Must have a High School Diploma/GED AND 9 years of qualifiable, related professional/military experience in lieu of a collegiate degree to include a background in a systems test environment OR an Associate of Science degree AND 7 years of qualifiable, related professional/military experience to include a background in a systems test environment OR a Bachelor of Science degree AND 5 years of qualifiable, related professional/military experience to include a background in a systems test environment OR a Master of Science degree AND 3 years of qualifiable, related professional/military experience to include a background in a systems test environment OR a Ph.D. AND 0 years of qualifiable, related professional/military experience to include a background in a systems test environment
+ Must have an active DoD Secret or higher clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation)
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance prior to the commencement of employment
+ Must be willing to work various shifts depending on the business needs: 4x10 schedule (Mon-Thurs) 1st shift; 4x10 (Mon-Thurs) 2nd shift; 3x10 schedule (Fri, Sa, Su) 2nd shift; 3x10 schedule (Fri, Sa, Su) 1st shift
**Basic Qualifications (Sr. Principal):**
+ Must have a High School Diploma/GED AND 13 years of qualifiable, related professional/military experience in lieu of a collegiate degree to include a background in a systems test environment OR an Associate of Science degree AND 11 years of qualifiable, related professional/military experience to include a background in a systems test environment OR a Bachelor of Science degree AND 9 years of qualifiable, related professional/military experience to include a background in a systems test environment OR a Master of Science degree AND 7 years of qualifiable, related professional/military experience to include a background in a systems test environment OR a Ph.D. AND 4 years of qualifiable, related professional/military experience to include a background in a systems test environment
+ Must have an active DoD Secret or higher clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation)
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance prior to the commencement of employment
+ Must be willing to work various shifts depending on the business needs: 4x10 schedule (Mon-Thurs) 1st shift; 4x10 (Mon-Thurs) 2nd shift; 3x10 schedule (Fri, Sa, Su) 2nd shift; 3x10 schedule (Fri, Sa, Su) 1st shift
**Preferred Qualifications:**
+ BS, MS, or PhD degree in a STEM (Science, Technology, Engineering, or Math) discipline
+ Experience that includes test planning, execution, and operations
+ Experience in an aircraft maintenance/operations environment
+ Active DoD Top Secret clearance
+ Active Special Program Access (SAP)
**Salary Range:** $97,500 - $146,300
**Salary Range 2:** $120,900 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Edwards Afb, CAR10154446CaliforniaCAPrincipal / Senior Principal Test Conductor - Flight Test IntegrationNone2E61D6863DDE4C3A9DC7E6D3A0770096http://northropgrumman-veterans.jobs/2E61D6863DDE4C3A9DC7E6D3A077009623RidgecrestNorthrop GrummanUnited StatesUSA2024-03-28 06:15:28At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Defense Systems is currently seeking an Associate (Entry Level) Inspector in Ridgecrest, CA.**
**Job Responsibilities:**
+ Inspection of materials from internal or external sources and services; involving physical inspection, documenting dimensional compliance and review of vendor certifications for compliance. This includes inspection of internally manufactured parts and final assemblies throughout all stages of production and review of final test data.
+ Responsible for inspection of product in accordance with drawings, work instructions, quality acceptance procedures, and maintenance of acceptance documentation/records.
+ Assist in generating and reviewing First Article Inspection Reports in accordance with AS9102.
+ Coordinate and assist with Customer and DCMA Source Inspections.
+ Additional responsibilities may include calibration of tooling, gaging, and other equipment on-site or by coordination with outside suppliers.
+ Inspection will encompass a variety of techniques; e.g., visual inspection, conventional metrology (height gages, gage pins, thread gages, and dial indicators), calipers, micrometers, functional gages, etc.
+ Support project teams on nonconformance containment, corrective actions, root cause investigations, audits and other continuous improvement activities.
+ May require flexibility to work overtime, or within other departments as required.
+ Perform in-process and final product inspection at the Naval Air Weapons Station (NAWS) China Lake.
**Competencies for Success:**
+ Ability to work independently
+ Ability to multitask and prioritize
+ Strong attention to detail and accuracy
+ Ability to work in a dynamic, fast paced, diverse environment
+ Initiative, self-starter, adaptable, and high motivation for excellence
+ High energy, results oriented, self-motivated / self-reliant, team player
+ Strong interpersonal skills with ability to interface with the organization at all levels
+ Positive individual who is willing to expand current skill set through schooling and training
**Experience:**
**Associate Inspector Entry Level Experience:**
High School diploma or equivalent and 0 years additional education and/or related experience.
**Minimum Qualifications for Associate Inspector**
+ Ability to obtain and maintain a DOD Secret Clearance, which requires US Citizenship
+ Basic computer knowledge; e.g., Microsoft Office Suite
+ Ability to stand for extended hours during inspection and lift 50lbs
+ Must have good understanding of quality systems in a manufacturing environment.
+ Must be capable of coordinating non-conformance incidents and handling procedures.
+ Must have good verbal and written communication skills.
**Preferred Qualifications for Associate Inspector:**
+ TipQA Experience.
+ Familiar with AS9102.
+ Proficient in MS Office Suite.
+ Interim/Full Secret Clearance.
+ Familiar with Costpoint MRP system.
+ Certified in J-STD-001, IPC-A-610, and IPC/WHMA-A-620.
+ Previous history inspection experience in aviation, electronics, or ordinance
+ Associates Degree in a Physical Science or Manufacturing related area
+ Experienced with practices associated with ISO9001 and AS9100 Quality Management Systems
+ Good working knowledge and experience with Geometric Dimensioning and Tolerancing (GD&T)
+ Experienced with use of technical drawings, and following manufacturing work instructions and inspection procedures.
**Salary Range:** $37,600 - $62,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Ridgecrest, CAR10154616CaliforniaCAInspector 1None36C1C3208FF14ED9975DE7FC9B1BB586http://northropgrumman-veterans.jobs/36C1C3208FF14ED9975DE7FC9B1BB58623JacksonvilleNorthrop GrummanUnited StatesUSA2024-03-28 06:15:28At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems has an immediate opportunity available for **Technical Services Engineer or Principal Technical Services Engineer** . This opportunity is located in Mayport Florida.
Working in Northrop Grumman Mission Systems means making a direct contribution to mission projects that keep our homeland safe, expanding the boundaries of possibility and reshaping the world we live in. Northrop Grumman Mission Systems is seeking an Engineer that will be functioning as a Mission Module (MM) equipment Subject Matter Expert (SME) supporting the Littoral Combat Ship Mission Package Design, Engineering, Production and Sustainment (DEP&S) Program. This position will serve as technical lead for the planning, logistics, lifecycle support, integration, and modernization of 11 Meter Rigid Hull Inflatable Boat Mission Module (MM) equipment.
**What You'll Get To Do:**
The selected candidate will support the operations of the Mission Module Readiness Center (MMRC) in planning and executing Surface Warfare (SUW) Mission Modules (MMs) lifecycle sustainment both ashore and aboard LCS Class Ships. Plans sequence of assembly, installation, repair and other maintenance functions relating to all assigned equipment. Plans detailed operations from blueprints, engineering orders, change notices, technical manuals and other engineering releases. Maintains progress reports, schedules, and other related records.
**Roles & Responsibilities:**
+ Developing and maintaining close working relationships and liaise with other organizations within the Northrop Grumman Corporation and NAVSEA enterprises to develop and deliver comprehensive schedules and project plans/tasks.
+ Manage and work with government, military and staff on milestones and assist with schedule development, preparing correspondence and reports of a technical nature and other duties as required supporting a collaborative team process.
+ Ensure major projects and program schedules and plans are integrated across functional groups including training, operations, material, and quality.
+ Research parts for technicians and customer representatives.
+ Review and coordinates with logistics personnel to provide feedback to equipment Allowable Parts Lists (APLs).
+ Provide technical advice and guidance on installation, adaptation, configuration or enhancement of company technical products, programs and systems.
+ Provide expertise for resolving technical problems, troubleshoots product and modifies product to customer requirements.
+ Provide recommendations for MM Engineering Change Proposals (ECP).
+ Develop Supplier Statements of Work (SSOW) for repair and overhaul of MM equipment using manuals and engineering drawings.
+ Understanding and utilizing Navy programs of record to include: MM Planned Maintenance System (PMS) utilizing the SKED 3.2 program MM Corrective Maintenance (CSMP) utilizing Maintenance Figure of Merit (MFOM) Automated Work Number (AWN) Job development and management
"T his role may be filled as a Technical Services Engineer or a Principal Technical Services Engineer based on the qualifications listed below."
**Basic Qualifications for a Technical Services Engineer:**
+ BS Degree in a STEM related field and a minimum of 2 years related experience. 0 Years with Masters. An additional 4 years of experience may be considered in lieu of a degree.
+ Candidate must have experience in the sustainment of military systems and equipment
+ Experience with U.S. Navy Rigid Inflatable Boats (RIBs)
+ Must have a minimum of an active Interim Secret Clearance and must have the ability to obtain and maintain an Active Secret Clearance.
+ Candidate will be required to perform physically demanding work, to include working in tight, restricted access quarters onboard a US Navy warship, climbing 20 feet ladders and standing for long periods of time on a Navy ship or pier.
**Basic Qualifications for a Principal Technical Services Engineer:**
+ BS Degree in a STEM related field and a minimum of 5 years related experience. 3 Years with Masters. An additional 4 years of experience may be considered in lieu of a degree.
+ Candidate must have experience in the sustainment of military systems and equipment
+ Experience with U.S. Navy Rigid Inflatable Boats (RIBs)
+ Must have a minimum of an active Interim Secret Clearance and must have the ability to obtain and maintain an Active Secret Clearance.
+ Candidate will be required to perform physically demanding work, to include working in tight, restricted access quarters onboard a US Navy warship, climbing 20 feet ladders and standing for long periods of time on a Navy ship or pier.
**Preferred Qualifications:**
+ Bachelor's or Master's Degree in a STEM field.
+ Experience with equipment logistics, technical manuals, oversee parts ordering system, quality control inspections
+ Ability to generate and assign work and supervise all personnel in/around shop operation areas
+ MFOM/AWN Job Development and Management (or equivalent USN maintenance system)
+ Ability to conduct and report on technical review of work packages
+ Experience in embarking/debarking LCS Class MPs
+ Experience performing or supporting Quality Assurance verification on production end items.
+ Supervisor's knowledge of the SUW and MCM systems and inter-workings of the LCS Class MM program
+ Knowledge of and experience with working within the LCS/MM programmatic and operational management environment (i.e., experience working within the NAVSEA PMS 420 and LCSRON community)
+ Working knowledge of Navy Modernization process
+ Experience in Navy maintenance to include Ship's Maintenance and Material Management (3M), Preventive Maintenance, Corrective Maintenance and loading and unloading equipment from Navy ships
**Salary Range:** $68,500 - $102,700
**Salary Range 2:** $84,200 - $126,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Jacksonville, FLR10153191FloridaFLTechnical Services Engineer or Principal Technical Services EngineerNone5E9AB8CDDE23465D9286613074B2D06Chttp://northropgrumman-veterans.jobs/5E9AB8CDDE23465D9286613074B2D06C23RichmondNorthrop GrummanAustraliaAUS2024-03-28 06:15:28We're building something special here in Australia. Committed to safeguarding our Country's future by solving the toughest problems in Aeronautics, Space, and Mission Systems, we're investing in our business, growing our capabilities, and nurturing a great reputation as the place to be in Defence. 'Defining Possible' is our mantra and we are committed to making sure that's as relevant for our people as for our customers.
Northrop Grumman Australia partners with the Royal Australian Air Force to provide through-life-support for its fleet of ten **C-27J Spartan** Airlifter aircraft. The C-27J complements the Australian Defence Force's existing Air Mobility fleet and provides airlift of people, equipment and supplies in Australia.
**Your Role:**
This critical role is focussed on facilitating the C-27J Enterprise to achieve Best for Defence outcomes. The C-27J Enterprise consists of the Commonwealth of Australia (84WG, 35SQN and ALSPO), Northrop Grumman Australia and Standard Aero. Whilst employed by Northrop Grumman Australia and being a key member of the C-27J Senior Leadership Team, you will display 'above the line' behaviours in pursuing 'Best for Defence' outcomes.
As the Enterprise Manager, you will work closely with the C-27J Program Manager and other CoA and Industry leaders to facilitate Enterprise working groups up to One Star Level, Manage Enterprise risk registers and facilitate joint outcomes when Enterprise Issues arise.
Your role will see you forging and maintaining strategic partnerships with the Commonwealth, Industry and Northrop Grumman stakeholders. Your expertise will be pivotal in developing strategies and executing plans that meet the goals of both the Commonwealth and Northrop Grumman Australia, particularly within the C-27J program.
**About You:**
As an Enterprise Manager, your deep understanding of Defence along with your outstanding leadership and influential skills sets you apart. Your keen commercial awareness, coupled with a commitment to best practices, has established you as a respected figure in the Defence community. Your exceptional ability to deliver and support outcomes within a complex environment will be crucial in meeting the needs of the Enterprise.
Your leadership experience in the Defence or Civil Aviation sectors is invaluable. You bring essential qualifications in leadership and management, the capability to maintain a Defence security clearance, and, ideally experience in Defence Through-Life Support environments.
**What we offer:**
Whatever your role, life stage, or background, you can shape your career here in your way with highly challenging work, great colleagues, and career development, plus a range of flexible benefits and working patterns.
+ Professional Development Support - further education, leadership development, professional industry memberships, and unlimited access to a range of online training
+ Options for flexible & hybrid work including a 9-day fortnight
+ Up to 2 weeks of additional purchased annual leave
+ Salary packaging including novated car leases
+ Generous parental leave entitlements
+ Volunteer Leave
+ Health & Wellbeing program
+ Employee Assistance Program
Defining Possible means something different to each one of us. What's Your Possible?
**Everyone Matters:**
Doing the Right Thing and Sharing Success are two values underpinning how we behave at Northrop Grumman. Here, everyone matters and we proudly encourage individuals from all backgrounds and all abilities to apply to join our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.
**Note: As a Defence security clearance is required for this role, you will need to be an Australian Citizen. International Traffic in Arms Regulations (ITAR) are applicable, so your nationality may be a factor in determining your suitability for this role**
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.Richmond, AUSR10147527Enterprise ManagerNone8117FC573E0C455AA324CB0E88025FD2http://northropgrumman-veterans.jobs/8117FC573E0C455AA324CB0E88025FD223MadisonNorthrop GrummanUnited StatesUSA2024-03-28 06:15:28At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Northrop Grumman Defense Systems (NGDS) is looking for you to join our team as an Production Test Technician 1 for the Huntsville Manufacturing Center, Located in Madison, AL
**Job Duties:**
+ General frequent use and application of technical standards, principles, theories, concepts and techniques.
+ Support and contribute to the development and sustainment test equipment and documentation.
+ Sets up test apparatus and conducts tests of production assemblies and units following
established methods, procedures, standards, and sequences.
+ Identifies and reports anomalies using defined processes.
+ Perform Incoming Receiving Tests on Electronic and Cable assemblies.
**Basic Qualifications:**
+ Ability to perform a two-person lift in accordance with MIL-STD-1472
+ High school diploma and 1 year experience
+ Ability to write clearly, concisely, and accurately in active voice
+ Ability to apply technical principles, theories, and concepts to perform basic professional tasks
+ Ability to participate in technical projects or teams
+ Ability to work well with others
+ Candidate must be willing to work extended hours occasionally to meet deadlines
+ Must be able to obtain and maintain a Secret security clearance
**Preferred Qualifications:**
+ Experience configuring and testing networks comprised of Cisco equipment
+ Knowledge of military command and control systems
+ Experience using manufacturing test plans and procedures
+ Experience working with SAP
**Salary Range:** $39,000 - $65,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Madison, ALR10149347AlabamaALProduction Test Technician 1None8E191C56960F4DC087DD8DFECD33D0D1http://northropgrumman-veterans.jobs/8E191C56960F4DC087DD8DFECD33D0D123LinthicumNorthrop GrummanUnited StatesUSA2024-03-28 06:15:27At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The **Payload and Ground Systems** organization within the **Northrop Grumman Space Systems** pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
**Northrop Grumman Space Systems** in **Linthicum, MD** is seeking a **Datacenter Administrator** to support the network and data collection, processing, and storage infrastructure to support the Assembly, Integration and Test (AI&T) of a production Space Payload program.
**Responsibilities:**
+ Oversee and manage Linux, Windows, VMware and AWS environments to ensure optimal performance, availability, and security
+ Design, implement, and maintain system architectures, including virtualization, cloud integration, and disaster recovery strategies
+ Collaborate with cross-functional teams to plan and execute system upgrades, data migration, and security patches
+ Monitor system health, diagnose and resolve technical issues, and implement proactive measures to prevent disruptions
+ Configure and manage AWS services, ensuring scalability, cost efficiency, and adherence to best practices
+ Provide advanced technical support to end-users, offering timely and effective solutions to complex challenges
+ Create and maintain comprehensive documentation for system configurations, processes, and procedures using Atlassian Confluence, Jira, and Bitbucket
+ Operation and support of IT and network hardware and software across all program locations (limited travel required)
**Basic Qualifications:**
+ BS in Computer Science, Information Technology, Information Systems, or related field with 9 years of relevant experience, or 7 years of relevant experience with MS. Or 4 years and Ph.D
+ Proven expertise in administrating Linux (CentOS, Red Hat), Windows (10, Server 2019), VMware (vSphere 7), and AWS cloud services
+ Strong understanding of virtualization technologies, cloud computing concepts, and networking protocols
+ Experience with Confluence, Jira, and Bitbucket
+ Active Top Secret clearance and eligible for SCI
**Preferred Qualifications:**
+ Experience with containerization (Docker, Kubernetes)
+ Relevant certifications such as CompTIA Linux+, AWS Certified Solutions Architect, VMware Certified Professional, or equivalent certifications
+ TS/SCI clearance (Polygraph not needed)
**Salary Range:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10146604MarylandMDLead Datacenter Administrator (Active TS/SCI Required)None95A859A6B85947E38505CE02327F760Ehttp://northropgrumman-veterans.jobs/95A859A6B85947E38505CE02327F760E23SunnyvaleNorthrop GrummanUnited StatesUSA2024-03-28 06:15:26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Mission Systems sector is looking for a **Director, Programs 2 - Launcher** to support the Launcher operating unit in the Marine Systems business unit.
The primary objective will be the delivery of all contractual requirements on cost and on schedule, while achieving all technical requirements and creating customer intimacy to support value creation strategies and actions. The individual will lead a cross-functional organization aligned to common program performance goals.
Program Directors are responsible for leading all phases of the program life cycle from inception (proposal development) through startup, execution, and completion (contract closeout). Primary responsibilities are the management of cost, schedule, and technical performance of company programs or subsystems and include, but are not limited to:
+ Cultivating customer relationships and intimacy to develop further opportunities within the customer community
+ Developing new business opportunities through long term strategic planning, capture planning, and development of proposals and business plans
+ Establishing a program organization that effectively addresses customer requirements and incorporates the necessary internal and external sub-organizations
+ Leading and directing cross-functional Integrated Program Teams (IPT) to meet program cost, schedule and technical performance objectives
+ Measuring and reporting program performance
+ Delivering presentations to customers, executive management and other program stakeholders
+ Participating in the negotiation of contracts, contract changes, specifications, operating budgets, schedule milestones, and key terms and conditions.
+ Establishing design concepts, criteria, and engineering efforts for product research, development, integration and test.
+ Creation, review and finalization of the program Statement of Work
+ Identification, distribution, tracking, and completion of program requirements
+ Establishment and management of the program and subordinate baselines
+ Development and adherence to budget baselines utilizing Earned Value Management (EVM) or similar cost & schedule control methodologies and tools
+ Identifying, allocating and managing program resources, including workforce planning
+ Managing Government/customer supplied property or information (GFE, CFE, etc.)
+ Managing suppliers to meet program objectives.
+ Adherence to all internal processes, policies, and applicable industry standards
+ Ensuring program team understands and adheres to contract scope, and manages change through control board activities
+ Development and adherence to master plans and schedules
+ Conducting thorough risk & opportunity management practices including identification, mitigation and realization
+ Drive a culture of systems thinking and robust documentation to support knowledge transfer
+ Focus on robust and prompt RCCA (execution) investigation and completion across all Site Activities and Home rooms to prevent future repeats of similar/same issues
+ Drive a culture of transparency and leveraging resources from across the entire NG enterprise to solve challenges
+ **Business Acumen:** The ability to apply knowledge, insights and understanding of business and financial concepts, tools and processes to the benefit of program decisions, actions, and performance.
+ **Capture Management:** Insight into customer technical and business (financial, political, cultural) requirements and the ability to shape customer expectations with a solution that satisfies their needs and is advantageous to the company.
+ **Communications:** The ability to manage communications with stakeholders through organized processes to ensure that program information is defined, collected, shared, understood, stored and retrieved in a manner that effectively meets program and stakeholder needs
+ **Issue & Problem Resolution:** The ability to identify and address program impacts through a systematic, proactive, approach to issue and problem resolution that identifies, communicates, monitors, and promptly resolves conflicts across all levels of the program.
+ **Planning, Scheduling & Earned Value:** The ability to initiate, develop, integrate, execute, direct and control program plans and cost and schedule baselines that meet both program contractual requirements and stakeholder expectations.
**Basic Qualifications:**
+ Bachelor's Degree and 12 or more years of experience; OR Master's and 10 or more years of experience supporting U.S. Government contracts and customers and/or project management in other industries
+ Program management and/or control account management experience in both development and production programs greater than $50M
+ People management experience in organization with 50 or more personnel
+ Proficiency in driving program/financial battle rhythm
+ Profit and loss experience in managing AOP/IF/LRSP processes
+ 3 or more years of demonstrated success leading teams/and or organizations to achieve improved affordability
+ US citizenship with an active DoD Secret clearance; OR DoE equivalent **(required to be considered)**
+ The ability to obtain DoD Top Secret clearance
**Preferred Qualifications:**
+ Previous profit and loss responsibility greater than $200M (~$100M) per year
+ Demonstrated successful turn-around of challenging program(s)
+ Demonstrated success in leading an organization with DE&I mindset and initiatives
+ Experience in working with LOE, FFP and CPFF contract types
+ Experience in working with Navy customers and shipbuilding industry
+ Technical experience in large rotating machinery
+ Active DoD Top Secret clearance; OR DoE equivalent
**Salary Range:** $251,500 - $377,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Sunnyvale, CAR10153775CaliforniaCADirector Programs 2 - LauncherNone08D7710C297245FBBC9B89BADC60B43Bhttp://northropgrumman-veterans.jobs/08D7710C297245FBBC9B89BADC60B43B23SykesvilleNorthrop GrummanUnited StatesUSA2024-03-28 06:15:26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a Process Assessor for our Sykesville, MD location. 10% travel.
The Process Assessor performs complete quality inspection operations on a variety of purchased or manufactured materials, hardware, electrical components, electro-mechanical assemblies and systems; and manufacturing processes using drawings, military specifications, process specifications and contractual requirements as well as internal procedures that are applicable.
Roles and Responsibilities:
Evaluate completed sub-assemblies and systems, and determine the cause of defective appearance or malfunctions. Recommend design changes and other corrective actions and war room requests, working with Engineering to adhere to contractual and drawing requirements. Perform inspections using tooling and check-off lists for the complete inspection of sub-assemblies and systems. Interface with manufacturing operations and engineering personnel to improve processes and documentation issues. Conduct inspections of complex components to determine, from visual and dimensional checks, piece/part conditions that contribute to, or cause, defects. Conduct investigations to detect conditions affecting functional aspects of the system and recommend solutions to eliminate current and/or future malfunctions. CMM (Coordinate Measuring Machine)and Faro Arm experience is preferred, but not required. Perform first-piece, patrol, sampling, physical configuration audit, and final inspection on a variety of complete electromechanical LRUs and systems. Visually inspect for appropriate electrical assembly specifications and standards. Some circuit check of wire harnesses and assemblies may be required. Determine and evaluate the cause of rejects, and recommend changes in tooling/equipment functions and/or materials to improve the quality of the completed product. Review procedures and techniques used to inspect materials, parts, units, and/or systems that improperly passed inspection, in order to make necessary corrections to prevent recurrence. Perform ISO Audits as required by audit coordinator after receiving certification. Submit contract end item product as required. Inspect shipment and shipping paperwork such as government/customer shipping documents, packaging and preservation information using applicable specifications. Some interface with contracts personnel is also required for shipping process. Customer and government (DCMA) interface is required for in-process inspections through final inspections as well as contract end item submittals and final pack and shipping process.
Basic Qualifications:
+ High School Diploma and 4+ years of related experience, or an AA degree or a related 2 year technical degree plus 2+ years of related experience.
+ Quality inspection and electromechanical assembly experience
+ Ability to obtain and maintain a Department of Defense (DoD) Confidential clearance. U.S. citizenship required.
Preferred Qualifications:
+ Faro Arm experience highly preferred.
+ Geometric tolerancing experience highly preferred.
+ SAP experience.
+ Drawing review experience.
+ Active Department of Defense (DoD) Confidential clearance.
**Salary Range:** $45,457 - $105,688
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Sykesville, MDR10154341MarylandMDPROCESS ASSESSORNoneBA3FB88842C64C95956685166A8027B2http://northropgrumman-veterans.jobs/BA3FB88842C64C95956685166A8027B223Salt Lake CityNorthrop GrummanUnited StatesUSA2024-03-28 06:15:26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Mission Systems sector is looking for a Manger, Program Level 2 to support the Navigation and Cockpit Systems business unit in Salt Lake City, UT. The selected candidate will be assisting with various LN-200 programs, including overseeing key accounts. This position will require strong interaction with the engineering & operations team while balancing customer needs and business objectives.
The primary objective will be the delivery of all contractual requirements on cost and on schedule, while achieving all technical requirements and creating customer intimacy to support value creation strategies and actions. The individual will lead a cross-functional organization aligned to common program performance goals.
Program Managers are responsible for managing all phases of the program life cycle from inception (proposal development) through startup, execution, and completion (contract closeout). Primary responsibilities are the management of cost, schedule, and technical performance of company programs or subsystems and include, but are not limited to:
+ Cultivating customer relationships and intimacy to develop further opportunities within the customer community
+ Developing new business opportunities through long term strategic planning, capture planning, and development of proposals and business plans
+ Establishing a program organization that effectively addresses customer requirements and incorporates the necessary internal and external sub-organizations
+ Leading and directing cross-functional Integrated Program Teams (IPT) to meet program cost, schedule and technical performance objectives
+ Measuring and reporting program performance
+ Delivering presentations to customers, executive management and other program stakeholders
+ Participating in the negotiation of contracts, contract changes, specifications, operating budgets, schedule milestones, and key terms and conditions
+ Establishing design concepts, criteria, and engineering efforts for product research, development, integration and test
+ Creation, review and finalization of the program Statement of Work
+ Identification, distribution, tracking, and completion of program requirements
+ Establishment and management of the program and subordinate baselines
+ Development and adherence to budget baselines utilizing Earned Value Management (EVM) or similar cost & schedule control methodologies and tools
+ Identifying, allocating and managing program resources, including workforce planning
+ Managing Government/customer supplied property or information (GFE, CFE, etc.)
+ Managing suppliers to meet program objectives
+ Adherence to all internal processes, policies, and applicable industry standards
+ Ensuring program team understands and adheres to contract scope, and manages change through control board activities
+ Development and adherence to master plans and schedules
+ Conducting thorough risk & opportunity management practices including identification, mitigation and realization
**Basic Qualifications:**
+ Bachelor's Degree in a technical discipline plus ten (10) years of experience leading teams in program execution or Master's Degree with eight (8) years relevant experience.
+ Demonstrated excellence in managing challenging customer relationships during development or NRE project execution.
+ Demonstrated success in leading the performance of tasks on schedule, at cost and achieving all requirements as either project lead, integrated program team or cost account manager.
+ Experience utilizing earned value management system (EVMS)
+ Knowledge of Risk and Opportunity Management
+ Expertise leading process improvement, organizational effectiveness, or operational planning.
+ The ability to obtain and maintain a DoD Secret Clearance is required.
**Preferred Qualifications:**
+ Master's degree in Business Administration or other relevant graduate degree - plus eight (8) years in Program Management, Engineering, and Business Development leadership roles
+ Demonstrated oversight of a programs Profit and Loss
+ Demonstrated success leading production programs supporting multiple customers
+ Inertial or Navigation Technology Domain knowledge
+ Project Management Professional (PMP) Certification
+ Production program management experience
+ Management of direct reporting staff
+ Capture experience that spans DoD, Commercial, and International customers
+ Possess an active DoD Secret clearance
+ Knowledge of major US DOD acquisition organizations, military customers, and/or military
**Salary Range:** $131,200 - $196,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Salt Lake City, UTR10150990UtahUTManager Programs 2NoneC4AB4DBA413A4CF8BAC78EC29BD0C3B4http://northropgrumman-veterans.jobs/C4AB4DBA413A4CF8BAC78EC29BD0C3B423PlymouthNorthrop GrummanUnited StatesUSA2024-03-28 06:15:26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman's Weapons Systems** Division is looking for a **Manager, Programs Level I** to support the **Armament Systems/Ammunition** organization/business unit located in Plymouth, MN.
The primary objective will be the delivery of all contractual requirements on cost and on schedule, while achieving all technical requirements and creating customer intimacy to support value creation strategies and actions. The individual will lead a cross-functional organization aligned to common program performance goals.
Northrop Grumman's Armament Systems business unit is looking for a Manager, Programs Level 1 to support its established Advanced Ammunition Portfolio. This portfolio consists of Airburst, Proximity, Guided and Advanced Ammunition Technology programs. The primary responsibility of this Program Manager position will be the execution of complex development and production programs across the Advanced Ammunition products. The individual will lead a cross-functional organization aligned to common program performance goals including technical requirements, cost performance, schedule performance, and customer satisfaction.
Program Managers are responsible for leading all phases of the program life cycle from inception (proposal development) through startup, execution, and completion (contract closeout). Primary responsibilities are the management of cost, schedule, and technical performance of company programs or subsystems and include, but are not limited to:
+ Cultivating customer relationships and intimacy to develop further opportunities within the customer community
+ Developing new business opportunities through long term strategic planning, capture planning, and development of proposals and business plans.
+ Establishing a program organization that effectively addresses customer requirements and incorporates the necessary internal and external sub-organizations.
+ Leading and directing cross-functional Integrated Program Teams (IPT) to meet program cost, schedule and technical performance objectives.
+ Measuring and reporting program performance.
+ Delivering presentations to customers, executive management and other program stakeholders
+ Participating in the negotiation of contracts, contract changes, specifications, operating budgets, schedule milestones, and key terms and conditions.
+ Establishing design concepts, criteria, and engineering efforts for product research, development, integration and test.
+ Creation, review and finalization of the program Statement of Work and other associated program management artifacts.
+ Identification, distribution, tracking, and completion of program requirements.
+ Establishment and management of the program and subordinate baselines.
+ Development and adherence to budget baselines utilizing Earned Value Management (EVM) or similar cost & schedule control methodologies and tools.
+ Identifying, allocating and managing program resources, including workforce planning.
+ Managing Government/customer supplied property or information (GFE, CFE, etc.)
+ Managing suppliers to meet program objectives.
+ Adherence to all internal processes, policies, and applicable industry standards
+ Ensuring program team understands and adheres to contract scope, and manages change through control board activities.
+ Development and adherence to master plans and schedules.
+ Conducting thorough risk & opportunity management practices including identification, mitigation and realization.
**Basic Qualifications:**
+ Our position emphasizes skills first and requires 10 years of experience. Will consider a Bachelor's Degree and 6 years or Master's Degree and 4 years of experience supporting U.S. Government contracts and customers and/or project management in other industries.
+ Experience leading the performance of tasks on schedule, at cost and achieving all requirements as either project lead, integrated program team lead, or cost account manager.
+ Demonstrated financial management skills/knowledge including development and control of program budgets, schedules, and the ability to recognize and capture program changes.
+ Proficient computer skills using Microsoft Office products (e.g. Word, Excel, PowerPoint).
+ US Citizenship with the ability to obtain and maintain a DOD Secret clearance.
+ Able to travel both international and domestically.
**Preferred Qualifications:**
+ Bachelors degree in Engineering or related technical field
+ Working experience supporting US Govt contracts
+ Experience leading new product development and/or EMD programs
+ Prior experience with large or medium caliber military armaments, or related systems including development, transition to production, and production experience
+ Experience with Defense Ordnance Technology Consortium (DOTC) and/or Other Transaction Agreements (OTA) process, proposals, and contracts
+ Demonstrated history of effective and productive customer relationship with US Govt.
+ Experience with International Direct Commercial Sale (DCS) proposals/contracts
**Salary Range:** $117,600 - $176,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Plymouth, MNR10150939MinnesotaMNProgram Manager 1NoneCE6DA6DABAC04B1C828F906B93E3C0F7http://northropgrumman-veterans.jobs/CE6DA6DABAC04B1C828F906B93E3C0F723MagnaNorthrop GrummanUnited StatesUSA2024-03-28 06:15:25At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is seeking an experienced **Heavy Equipment Mechanic** to work in our Maintenance Department at the **Bacchus Facility** in **Magna, Utah** .
**Role description & Responsibilities:**
The **Heavy Equipment Mechanic** must be able to diagnose, troubleshoot, repair and perform preventative maintenance on plant heavy equipment such as forklifts, semi-tractors, semi-trailers and refrigeration units. Candidate must possess or be able to obtain a Utah State certification to perform state safety inspections on heavy equipment. Candidates holding a Commercial Driver's License and having an ability to perform other mechanical disciplines such as Welding, Pipe Fitting and Millwright work is also desirable.
This position will require shiftwork and overtime and the successful candidate must pass a background check for the Federal Safe Explosives Act (SEA).
**Competencies for Success:**
• Safety First attitude and personal work behavior
• Actively engage in continuous improvement efforts of Maintenance
• Demonstrates initiative and ambition to become proficient in all job duties
• Able to work to performance standards with little or no supervision
**Education/Certifications/Experience**
• High School Diploma or GED
• Completed apprenticeship in heavy equipment mechanics, diesel system technology or certificate of completion in a related technical field from an accredited institute.
• 10 years' recent experience in Heavy Equipment Mechanics/Diesel System Technology
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Magna, UTR10141653UtahUTHeavy Equipment MechanicNone07E80539D5D7405DBA374DF958A09D66http://northropgrumman-veterans.jobs/07E80539D5D7405DBA374DF958A09D6623McLeanNorthrop GrummanUnited StatesUSA2024-03-28 06:15:25At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems sector (NGDS) is currently seeking a **Principal Technical Accounting Analyst** to join our growing team of diverse professionals at our sector headquarters in McLean, Virginia. The selected candidate will become a part of our Sector Headquarters Financial Reporting and Accounting team.
This position will report to the Manager of Technical Accounting and perform technical GAAP accounting research and other financial analysis as required. This high impact and high visibility role will work closely with the Sector's division business management and Sector leadership team.
Position Responsibilities and duties include:
+ Providing on-call technical accounting guidance
+ Reviewing revenue recognition checklists, conclude on treatment and providing guidance on application of ASC 606
+ Researching and analyzing application of accounting standards to complex business transactions
+ Drafting accounting position papers and summarizing technical accounting issues and application of accounting guidance to the company, including relevant alternatives and recommendations
+ Preparing presentations of accounting treatment with recommendations for sector finance leadership
+ Updating sector accounting policies for new accounting pronouncements and other changes
+ Preparing and presenting sector accounting policy training on an as-needed basis
+ Performing miscellaneous financial analysis, as needed
+ Tracking key accounting items and communicating impact to sector leadership
Basic Qualifications:
+ Must have one of the following:
+ Bachelor's degree in accounting or related field with a minimum of 6 years of relevant work experience
+ Master's degree in accounting or related field with a minimum of 4 years of relevant work experience
+ CPA
+ Recent Big 4 or recognized public accounting firm audit experience
+ The ability to work on-site in McLean, Virginia
+ The ability to travel as needed (up to 10% of the time)
Preferred Qualifications:
+ Strong GAAP accounting proficiency and research experience
+ Self-motivated, yet functions well in a team environment
+ Excellent oral and written communication skills
+ Experience communicating with executives
+ Prior experience in the Aerospace & Defense industry
+ Strong project management skills
**CPA will be weighed as 1 additional year of experience**
**Directly relevant Public Accounting may account for an additional year of experience for each year of relevant experience**
**Salary Range:** $88,700 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Mclean, VAR10150104VirginiaVAPrincipal Financial AnalystNone0DD15EE723CD47FB91A02E48AE08ADEDhttp://northropgrumman-veterans.jobs/0DD15EE723CD47FB91A02E48AE08ADED23Woodland HillsNorthrop GrummanUnited StatesUSA2024-03-28 06:15:25At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Mission Systems sector is looking for a Program Manager to support the Development of M-Code in Legacy Navigation Systems. This position will be located Woodland Hills, CA. The role is casual/on-call and will not exceed 20 hours per week.
The primary objective will be the delivery of all contractual requirements on cost and on schedule, while achieving all technical requirements and creating customer intimacy to support value creation strategies and actions. The individual will participate in a cross-functional organization aligned to common program performance goals. This Program Manager 3 will be expected to take on a leadership role in the Navigation and Lifecycle operating unit with a focus on implementation of M-code and adhering to the PM processes supporting development efforts.
Program Managers are responsible for leading all phases of the program life cycle from inception (proposal development) through startup, execution, and completion (contract closeout). Primary responsibilities are the management of cost, schedule, and technical performance of company programs or subsystems and include, but are not limited to:
+ Cultivating customer relationships and intimacy to develop further opportunities within the customer community.
+ Developing new business opportunities through long term strategic planning, capture planning, and development of proposals and business plans
+ Leading and directing cross-functional Integrated Program Teams (IPT) to meet program cost, schedule and technical performance objectives.
+ Measuring and reporting program performance.
+ Delivering presentations to customers, executive management, and other program stakeholders
+ Participating in the negotiation of contracts, contract changes, specifications, operating budgets, schedule milestones, and key terms and conditions.
+ Identification, distribution, tracking, and completion of program requirements.
+ Establishment and management of the program baseline.
+ Development and adherence to budget baselines utilizing Earned Value Management (EVM) cost & schedule control methodologies and tools.
+ Identifying, allocating and managing program resources, including workforce planning.
+ Managing Government/customer supplied property or information (GFE, CFE, etc.).
+ Managing suppliers to meet program objectives.
+ Adherence to all internal processes, policies, and applicable industry standards.
+ Ensuring program team understands and adheres to contract scope, and manages change through control board activities.
+ Development and adherence to master plans and schedules.
+ Conducting thorough risk & opportunity management practices including identification, mitigation and realization.
**Basic Qualifications:**
+ Bachelor's Degree and 10 years, or Master's and 8 years' experience supporting U.S. Government contracts and customers. In lieu of a degree, 14 years of relevant experience will be considered.
+ 5 years of experience supporting and/or managing development programs.
+ 5 years demonstrated success leading teams/and or organizations to achieve a common goal.
+ 5 years of experience leading the performance of tasks on schedule, at cost and achieving all requirements as either project lead, integrated program team or cost account manager.
+ Experience with Earned Value Management (EVM) methodologies and tools (Earned Value Management System).
+ Experience managing communications with stakeholders through organized processes to ensure that program information is defined, collected, shared, understood, stored and retrieved in a manner that effectively meets program and stakeholder needs.
+ The ability to address uncertainty through an organized and analytical forward looking approach that identifies risks and opportunities, determines appropriate handling plans, and manages, controls, and communicates risks and opportunities.
+ The ability to plan for, identify, estimate, cost, acquire, schedule, and retire resources needed to satisfy program requirements within organizational constraints.
+ The ability to apply knowledge, insights and understanding of business and financial concepts, tools and processes to the benefit of program decisions, actions, and performance.
**Preferred Qualifications:**
+ Active DoD Top Secret clearance
+ Bachelor's or advanced degree in engineering or similar field.
+ Experience in leading new product development (hardware and software).
+ Experience managing various contract types to include CPFF, CPIF, FFP.
+ Experience managing programs with restricted/classified customers and understanding of restricted infrastructure and networks. (ie networks, sites, space, clearances, etc.)
+ Familiarity with Federal Acquisition Regulations (FAR) and Defense Federal Acquisition Regulations Supplement (DFARS).
+ Experience leading small or large teams.
**Salary Range:** $180,600 - $271,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Woodland Hills, CAR10154391CaliforniaCAProgram Manager 3 / Casual Tech ProfNone154793C9E8BF444E99857299B593DB3Bhttp://northropgrumman-veterans.jobs/154793C9E8BF444E99857299B593DB3B23LinthicumNorthrop GrummanUnited StatesUSA2024-03-28 06:15:25At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Sector is seeking a **Principal** **Supply Chain Planning Specialist level 3** or **Senior** **Principal Supply Chain Planning Specialist level 4** to join our team in **Baltimore, MD.** PT remote work is available.
**Roles and responsibilities:**
+ Responsible for working with Program Management, Manufacturing and Engineering to load requirements in to MRP to meet manufacturing and testing schedules per the Integrated Master Schedule
+ Ensuring consistent Material Finance reporting on program material
+ Responsible for working with other planners, engineers, and program representatives to forecast material costs.
+ Responsible for creating a material baseline and working with Business Management to meet monthly Earned Value requirements, variance reporting, and Root cause and corrective actions.
+ Responsible for providing Monthly Current Material Estimates at completions (CME's)
+ Forecast monthly material receipts to be input into COGNOS for financial planning
+ Analyzes and aggregates supply/demand requirements to meet program needs
+ Responsible for the assessment of supply resources; aggregates and prioritizes demand requirements; plans inventory, distribution requirements, production, material and rough-cut capacity for all products
+ Participates in make/buy process, long-term capacity and resource planning; product phase-in/phase-out, manufacturing ramp-up, end-of-life management and product-line management
+ Analyzes and aggregates supply/demand requirements across programs in the Operating Unit
+ Analyzes purchase material supply/demand position using Material Requirements Planning (MRP) tools
+ Plans inventory levels based on dependent and independent Bill-of-Materials (BOM) requirements
+ Creates/reduces supply requirements based on inventory adjustments and anticipated attrition levels
+ Participates in long-term capacity and resource planning to ensure proper scheduling of new product line phase-ins as well as existing product line phase-outs
+ Interfaces with Source process area regarding inventory levels, working capital and other areas as needed
+ Communicate with suppliers for lead times, and delivery times. Actively resolves and communicates and works to resolve issues
+ Receives/reviews requirements for non-standard parts
+ Analyzes lead times and identifies critical path procurements
**Basic Qualifications:**
+ Bachelor's Degree and 6 years' of related experience for a level 3; 4 years' with a Master's
+ Bachelor's Degree and 10 years' of related experience for a level 4; 8 years' with a Master's
+ Procurement experience in Government environment
+ Ability to obtain a TS/SCI clearance
+ Microsoft Skills
+ Experience working with FAR/DFAR requirements
**Preferred Qualifications:**
+ TS/SCI clearance
+ Experience working with DOD customers
**Salary Range:** $84,600 - $127,000
**Salary Range 2:** $104,900 - $157,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10154513MarylandMDPrincipal Supply Chain Planning Specialist / Senior Principal Supply Chain Planning SpecialistNone2F49C61E6F6C4250A4B7AA6FD2E79581http://northropgrumman-veterans.jobs/2F49C61E6F6C4250A4B7AA6FD2E7958123San DiegoNorthrop GrummanUnited StatesUSA2024-03-28 06:15:25At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems** is seeking a **Program Cost Schedule Control Analyst (Level 2)** or a **Principal Program Cost Schedule Control Analyst (Level 3)** to join our team of qualified, diverse individuals. This position will be located **on site** in **Rancho Bernardo, CA with hybrid teleworking available** supporting the AISRT Business Unit.
**Essential Functions:**
+ Performs performance measurement baseline (PMB) monitoring and analyzing the Estimate to Complete (ETC), Estimate at Complete (EAC), significant variances, risk management reserve (MR), and financial reserve (FR), and preparing of internal and external status reports
+ Performs EAC assessments of subcontracts and general procurement material.
+ Performs analyses and prepares reports to ensure program contracts are within negotiated, agreed-upon parameters, government cost control guidelines, and accounting guidelines
+ Participates in the control of costs and schedules through cost schedule control system
+ Performs quarterly profitability assessments
+ Supports Monthly/Quarterly Financial Reporting and Planning processes
+ Prepares monthly Indicated Final (IF)
+ Supports management of program area financials, including financial and cost forecasting, reporting and analysis, estimate at completion analysis
+ Supports development of consolidated program Long Range Strategic Plans (LRSP) and Annual Operating Plans
+ Executes and supports Sarbanes-Oxley (SOX) compliance and documentation
+ Works with the business management teams to develop strategies to improve financial performance based on metrics and to support the management & reporting requirements for the program
+ Prepares monthly resources (NCTA) budgets and actual tracking
+ Supports initiatives and ad hoc requests from Division, Sector, and Corporate leadership
This position may be filled as either a level 2 Program Cost Schedule Control Analyst or level 3 Principal Program Cost Schedule Control Analyst.
**Basic Qualifications for Level 2:**
+ Master's degree with entry level experience in Business Administration, Finance, Accounting OR a Bachelor's degree with 2 years of experience in Business Administration, Finance, or accounting, financial analysis, or industry related fields
+ Experience with Microsoft Office including Excel and PowerPoint
**Basic Qualifications for Level 3:**
+ Master's degree with 3 years of experience in Business Administration, Finance, Accounting OR a Bachelor's degree with 5 years of experience in Business Administration, Finance, or accounting, financial analysis, or industry related fields
+ Experience with Microsoft Office including Excel and PowerPoint
**Preferred Qualifications:**
+ Degree in Business Administration, Accounting, Finance, or similar
+ Excel experience including Macros, VLOOKUP and Pivot tables
+ Experience with Indirect budget planning and forecasting
+ Experience with the Annual Operating Plan and Long Range Strategic Plan processes
+ Experience with NGFP (Cognos IBM Planning Analytics)
+ Understanding of US Government procurement and contracting, Cost Accounting Standards, and FAR
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly collaborative workplace. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do. Does this sound like you?
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself! Every ERG is inclusive of all employees!
At Northrop Grumman, we are innovating-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Salary Range:** $72,100 - $108,100
**Salary Range 2:** $88,700 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10154478CaliforniaCALevel 2/Level 3 Program Cost and Schedule Control Analyst - Hybrid TeleworkingNone402DDEE74D5747B89B404B1EDAB54FA4http://northropgrumman-veterans.jobs/402DDEE74D5747B89B404B1EDAB54FA423BoulderNorthrop GrummanUnited StatesUSA2024-03-28 06:15:25At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission and help us continue on our journey of #definingpossible.
The Operational Exploitation Systems (OES) Operating Unit is seeking a Business Management Manager - Manager Level 2, to join our team of qualified, diverse individuals to manage and lead all Business Management functions for one or multiple programs within the portfolio.
This position will be located in Boulder, CO, and will offer a 9/80 work schedule. Travel may also be required, per business needs.
**Roles and responsibilities include, but are not limited to, the following:**
+ Management of financial key-line forecasting (Awards, Sales, OM, Cash Flow), work authorization, execution of Earned Value Management System (EVMS) Level 1 and contractual reporting, program budgeting, financial estimate at complete development, and various financial reporting activities
+ Collaboration with Directors and Program Managers
+ Provide direct management for a team of Program Cost and Schedule Control Analysts
+ Clearly communicate financial business analysis and reporting to senior management
+ Coordinate cost and schedule analyses and preparation of reports to ensure contracts are within negotiated and agreed-upon cost and schedule parameters and program cost control guidelines
+ Develop business strategy and forecasting, through internal or external deliverables such as the Long Range Strategic Plan (LRSP) and the Annual Operating Plan (AOP)
+ Develop proposals from draft RFP to award, including review of RFP, FAR clauses, coordination with subcontractor flow-downs, basis of estimates, indirect rates, pricing strategies, cash management, negotiation strategy and oversight, and presenting to Senior Management
+ Manage risks from both an execution and financial perspective
+ Develop professional talent within the operating unit
+ Assist with program startup efforts and support of the Integrated Baseline Review (IBR) and required data submittals
+ Develop detailed business case analysis and return on investment analysis
+ Lead and support multiple internal and external program audits
+ Interface with customer leadership on contract execution
The successful candidate must have a proven track record leading a diverse team as well as the ability to promote the professional development of the business management workforce, fostering a collaborative culture that facilitates ethics and integrity, employee engagement, affordability, innovation, value growth and continuous improvement.
**Basic Qualifications:**
+ Bachelor's degree with 8+ years of industry related experience in finance, accounting, or program control - OR - Master's degree with 6+ years of industry related experience in finance, accounting, or program control
+ Earned Value Proficiency including the Earned Value Guidelines and EAC development.
+ Leadership experience
+ Proficient in Microsoft Office suite
+ Knowledge of government program budgeting and forecasting, as well as Annual Operating Plan development
+ Ability to obtain and maintain a Secret DOD clearance
+ US citizen
**Preferred Qualifications:**
+ Degree in Business Administration, Accounting or Finance
+ 10+ years of industry related experience in finance, accounting, or program control
+ 2+ years of functional management experience
+ Experience with Cognos Financial Forecasting System, Cobra Earned Value Management System, and SAP
+ Understanding of DoD contracts and FAR/DFAR
+ Understanding of Government Cost Accounting Standards
+ Experience preparing and presenting financial analysis to team and management
+ Current active DOD Secret Clearance
**Salary Range:** $125,500 - $188,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Boulder, COR10154268ColoradoCOBusiness Management Manager 2None4119EE965CE648BCB882CFC122401A75http://northropgrumman-veterans.jobs/4119EE965CE648BCB882CFC122401A7523LinthicumNorthrop GrummanUnited StatesUSA2024-03-28 06:15:25At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Business Management Analyst**
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
**We are looking for you to join our team as a Business Management Analyst based out of Maryland. This is a hybrid role in office 2 to 3 days a week is mandatory.**
**This position can either be filled at a level 1 or level 2.**
**Level 1 Associate Business Analyst**
**What You'll get to Do:**
The Associate Business Management Analyst will interface with Program Managers, Control Account Managers (CAM), and Business Managers to provide financial analysis on program performance. As part of this role the selected candidate will analyze cost data to prepare program financial reports for internal and external customers relative to program cost incurrence, material tracking and labor expenses to help ensure program contracts perform within negotiated parameters. This role will work cooperatively with business, functional, and technical staff to support cost estimate development, invoicing, customer proposals, negotiations, and various audits. This role will forecast financial statements (Income Statement and Balance Sheet), the Annual Operating Plan (AOP), and the Labor Resource Plan for their program. The Business Management Analyst supports identification and mitigation of program cost and schedule risks.
**Basic Qualifications:**
+ Bachelor's degree in Business Administration (Accounting, Data Analytics, Economics, Finance, Management, Marketing, etc.) or Business adjacent degree (Mathematics, Risk Management) from an accredited institution.
+ 1+ year of financial work experience
+ Proficiency in Microsoft Excel (pivot tables, lookups, chart generation, VBA macros, etc.)
+ Ability to obtain and maintain a DoD < Secret > security clearance.
+ Current US Citizenship Required
**Preferred Qualifications:**
+ SAP Experience or similar ERP software
+ Basic understanding of Government Compliance.
+ Current/Active DoD security clearance.
**Level 2 Business Management Analyst**
**What You'll get to Do:**
The Business Management Analyst will interface with Program Managers, Control Account Managers (CAM), and Business Managers to provide financial analysis on program performance. As part of this role the selected candidate will analyze cost data to prepare program financial reports for internal and external customers relative to program cost incurrence, material tracking and labor expenses to help ensure program contracts perform within negotiated parameters. This role will work cooperatively with business, functional, and technical staff to support cost estimate development, invoicing, customer proposals, negotiations, and various audits. This role will forecast financial statements (Income Statement and Balance Sheet), the Annual Operating Plan (AOP), and the Labor Resource Plan for their program. The Business Management Analyst supports identification and mitigation of program cost and schedule risks. The Business Management Analyst will also perform Earned Value Management (EVM) tasks including developing the performance measurement baseline (PMB), forecasting the Estimate at Complete (EAC), controlling change management processes and preparing internal and external status reports.
**Basic Qualifications:**
+ Bachelor's degree in Business Administration (Accounting, Data Analytics, Economics, Finance, Management, Marketing, etc.) or Business adjacent degree (Mathematics, Risk Management) from an accredited institution with a minimum of 3 years of financial work experience.
+ Basic understanding of Government Compliance.
+ 1+ year experience in strong data mining and database management.
+ Proficiency in Microsoft Excel (pivot tables, lookups, chart generation, VBA macros, etc.)
+ Willingness and ability to travel domestically up to 10%.
+ Ability to obtain and maintain a DoD < Secret > security clearance.
+ Current US Citizenship Required
**Preferred Qualifications:**
+ SAP, COGNOS, or similar ERP software experience
+ Professional experience in Aerospace & Defense, Financial Services, or Telecommunications.
+ Earned Value Management (EVM) customer reporting, COBRA experience.
+ Current/Active DoD security clearance.
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $56,900 - $85,300
**Salary Range 2:** $68,000 - $103,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10147311MarylandMDBusiness Management Associate/AnalystNoneDCDAA6BAE7364D5287AC2A05393775E6http://northropgrumman-veterans.jobs/DCDAA6BAE7364D5287AC2A05393775E623Edinburgh ParksNorthrop GrummanAustraliaAUS2024-03-28 06:15:24We're building a reputation as the place to be in Defence. With strong local management, sound investment and a fresh approach, we're on an exciting trajectory and building unique capability. Leveraging the kind of leading edge US capabilities and technology most can only imagine, our close-knit 800 strong team is busy delivering World-leading solutions to safeguard Australia's future. And, together, we're growing a business where everyone contributes and everyone matters.
Northrop Grumman's **MQ-4C Triton** Unmanned Aircraft System (UAS) provides real-time intelligence, surveillance and reconnaissance (ISR) over vast ocean and coastal regions. The aircraft can fly for more than 24 hours at a time, at an altitude above 55,000 feet and an operational range of 8,200 nautical miles. Australia is a cooperative program partner in the Triton program which consists of the US Navy, Royal Australian Air Force and Northrop Grumman.
**Your Role**
As a Business Support Coordinator within the Triton Program, your role is pivotal and extends beyond conventional administration, focusing on comprehensive coordination and support for both our local and dispersed management teams. In this dynamic capacity, you are charged with setting up and managing our new site operations in a fast-paced environment. Your expansive role entails autonomously establishing and coordinating the operational and administrative frameworks, ensuring a robust and efficient setup. Your leadership will be key in steering business meetings, crafting essential business support documents, overseeing the accounts payable process to ensure prompt and accurate handling of invoices, and managing monthly invoicing in collaboration with the Finance Analyst.
**About You**
As an experienced Coordinator, you are more than just an administration professional; you are a self-starting, tech-savvy 'life organiser' adept at working autonomously and taking initiative. You excel at being the central point of coordination, adeptly bringing order, efficiency, and innovation to every aspect of site setup and ongoing management. Organisational skills are not just part of your professional toolkit; they define your approach to addressing challenges in a fast-paced, evolving environment.
Your ability to anticipate needs, swiftly adapt to changing scenarios, and effectively utilise technology makes you an invaluable asset to our team. Your strong organisational skills are crucial in this role, ensuring smooth and efficient operations across various aspects of the programme. As a proactive, independent thinker with solid coordination abilities, you're ideally positioned to drive the development and continuous enhancement of our site and business support services within the Triton Program.
Furthermore, your capability to obtain and maintain an AGSVA security clearance is essential. This role is an opportunity for someone who is not just looking for a job but a chance to be a key player in a vital, fast-paced project.
**What we Offer:**
Whatever your role, life stage or background, you can shape your career here in your way with highly challenging work, great colleagues and career development, plus a range of flexible benefits and working patterns.
+ Professional Development - further education, leadership development, professional industry memberships and unlimited access to a range of online training
+ Options for flexible & hybrid work including 9 day fortnight
+ Purchased annual leave
+ Salary packaging including novated car leases
+ Generous paid parental leave
+ Volunteer Leave
+ Health & Wellbeing program
+ Employee Assistance Program
**Everyone Matters**
Doing the Right Thing and Sharing Success are two values underpinning how we behave at Northrop Grumman. Here, everyone matters and we proudly encourage individuals from all backgrounds and all abilities to apply to join our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.
As a Defence security clearance is required for this role, you must be an Australian Citizen. International Traffic in Arms Regulations (ITAR) are applicable, as such your nationality may be a factor in determining your suitability for this role.
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.Edinburgh Parks, AUSR10153842Business Support AssistantNone268EB321159A4E4195C1A3C84634AC78http://northropgrumman-veterans.jobs/268EB321159A4E4195C1A3C84634AC7823MelbourneNorthrop GrummanUnited StatesUSA2024-03-28 06:15:24At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Classified Solutions is seeking a Data Center Manager to join its dynamic team of technical professionals in the Melbourne, FL area.
The selected candidate will be required to work on-site, full-time at our Melbourne, FL campus. The individual will perform the following duties on a day-to-day basis in support of the program:
+ Direct supervision of 15+ system administrators & technical professionals to include task management, performance reviews and professional/career development activities.
+ Oversight and management of an enterprise class data center; responsible for continuous availability, day to day operations, and the support of project-based initiatives.
+ Directly oversee and manage data center refresh and migration project in the 2024 time frame
+ Monitor and maintain keen awareness of data center environmental elements including power, cooling, and rack space to ensure adequate resources and continuous availability.
+ Create data center resource utilization reports for planning and availability monitoring.
+ Interact with internal key executives, ES Program Management Office and customers on significant technical matters often requiring coordination between organizations.
+ Identify skills needed and provide required training to meet requirements.
+ Provide guidance and oversight to employees to complete key deliverables and drive results.
+ Support internal key initiatives such as Digital Transformation and Agile methodologies in order to improve services.
+ The successful candidate must be entrepreneurial, a self-starter and have the ability to challenge the status quo.
+ This individual will provide innovative solutions that create strategic value to our customers.
+ The successful candidate must be customer-focused with proven leadership and excellent communication skills.
Note: Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
**Basic Qualifications:**
+ Associate's degree and 11 years of experience, or Bachelor's degree and 9 years of experience, or Master's degree and 7 years of experience.
+ Active DOD Secret clearance with ability to obtain and maintain a DoD Top Secret clearance as a condition of continued employment.
+ Ability to obtain, and maintain, access to Special Programs prior to starting as condition of employment.
+ Experience with network topology and basic data center infrastructure.
+ Experience with ServiceNow, ASM, JIRA, or other ticketing and automation tools.
+ Project management experience, or must have previous experience managing, supervising, directing, or leading teams.
**Preferred Qualifications:**
+ Bachelor's or Master's degree in IS field of study
+ Active Top Secret Clearance
+ DoD DoD 8570 IAT Level I Certification (Security+) or greater
+ Previous data center experience and a firm understanding of the various interdependencies and requirements of an infrastructure refresh/migration.
+ Firm grasp of data center environmental elements such as power, cooling, generators, and heat mapping
+ Experience in a technical environment such as IT, Engineering, or technical program/project management.
+ Experience in Information Systems Security Management function related to classified computing environments.
+ Experience building and maintaining key customer relationships
+ Experience building and maintaining metric dashboards and infrastructure monitoring.
+ General understanding of network topology and basic infrastructure operations.
+ Experience leading and/or managing geographically diverse technical groups servicing multiple customers.
+ Experience working on Microsoft Windows Operating Systems server platforms, virtualization and/or Linux systems.
+ Experience working on technical activities and/or projects within a classified environment.
ESCSO
ESSysAdmin
ESWindowsAdmin
ESNetAdmin
**Salary Range:** $119,300 - $178,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10153937FloridaFLManager Computer Systems Analysis 2 - SecretNone790BFFE4776D4CA0A49E2EC79961271Dhttp://northropgrumman-veterans.jobs/790BFFE4776D4CA0A49E2EC79961271D23FairfaxNorthrop GrummanUnited StatesUSA2024-03-28 06:15:24At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
Northrop Grumman is seeking an experienced and motivated professional for the role of a **Principal (A03) or** **Senior P** **rincipal Contract Administrator (level 4)** with experience in contract administration, or related fields, to include business management, supply chain management, business development, project management or procurement.
The **Principal/Senior Principal Contract Administrator** will be responsible for direct handling of proposal and contracts activities. This position can be **located in Fairfax, VA or Linthicum, MD** and is open to a hybrid work schedule (NOT open to full time remote)
**What You'll Get to Do:**
In this role, the selected candidate will provide Operations support to the Contracts, Pricing & Estimating, International Trade Compliance, and Government Property (CP+) organizations of Business Management. Roles and responsibilities will include, but not be limited to:
Responsibilities :
+ Corporate, Sector, and Division interface on large scale data calls, recurring reporting, and analytics projects, as assigned
+ Dashboard Creation and Maintenance
+ Routine reviews of data to ensure integrity, accuracy, and reliability in decision making
+ Support of the Corporate and Sector CP+ training programs, including curriculum development, assignment, management, and reporting
+ Advanced compilation and analytical ability with experience in Data Analytics, Data Mining and Database management
+ Experience with SAP/ERP systems and various reporting/analytics tools
+ Support continued Digital Transformation and Processes
+ Management of DCMA On Time Delivery metrics and forecasting
**Basic Qualifications:**
To qualify for the Senior Principal Contract Administrator:
+ Minimum of 10 years of experience with a B.S. degree in a Business, Contracts, IT, or related field or a minimum of 8 years of experience with a Masters degree
To qualify for the Principal Contract Administrator position:
+ Minimum of 6 years of experience with a B.S. degree in a Business, Contracts, IT, or related field or a minimum of 4 years of experience with a Masters degree
To qualify for both levels
+ At least 3 years' experience in a Business Management function including Contracts, Pricing & Estimating, ITC, or Government Property
+ Proficiency in MS Office suite of tools - Excel, Word, PowerPoint, Teams
+ Ability to work independently with limited supervision
+ Detail oriented, analytical mindset
+ Desire to innovate or pioneer new solutions for the organization
+ Strong communication skills - written and oral
**Preferred Qualifications:**
+ Understanding/knowledge of the SAP Sales & Distribution Module
+ Support On-Time Delivery Metrics and interfacing with Program Teams to support/present reports to Senior Management and DCMA
+ Tableau, Power BI, or other visual analytics platform experience
+ Experience working with DCMA
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $84,600 - $133,100
**Salary Range 2:** $104,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fairfax, VAR10150016VirginiaVAPrincipal / Sr Principal Contract AdministratorNoneCE631A8A736841FF9353329C5F3D5D42http://northropgrumman-veterans.jobs/CE631A8A736841FF9353329C5F3D5D4223CorinneNorthrop GrummanUnited StatesUSA2024-03-28 06:15:24At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems - Propulsion Systems Division is currently seeking a **Manager** **Structural Engineering 3** to provide leadership to our **Loads and Environments** Analysis Engineering team at Propulsion Systems **Promontory & Bacchus, Utah Campus.**
**Role Description & Responsibilities:**
The **Manager** **Structural Engineering 3** will be responsible for the team's technical execution and standards, employee hiring and development, workflow processes, and program/project support for the Loads and Environments Analysis Engineering team. The qualified candidate must be able to effectively lead a team of highly skilled analysis engineers in delivery of technical products and associated risks, manage team workloads across multiple programs, improve team standard methods, ensure product quality control, and provide an example of company standards. This role will report to the Director of Systems Engineering.
**Job Duties:**
+ Provide leadership, direction and management of the Loads and Environments Analysis Engineering team
+ Drive technical excellence by upholding technical standards, identifying technical risks, and leading development of new methods
+ Champion company values, including safety, inclusion and diversity, standard methods and continuous improvement
+ Lead problem solving and failure investigations in relation to team technical issues
+ Manage employee workload and team resources
+ Support employee development and provide performance appraisals
+ Hire talented individuals to contribute to the team
+ Generate improvements to team/company work processes
+ Drive timely and clearly communicated decisions and recommendations
+ Resolve conflict
+ Provide programs with technical results and associated risks within cost and schedule
+ Support new business opportunities (proposals, scope definition, BOEs, etc.)
**Experience Requirements:**
+ Supervisory: Candidate must have a minimum of 2 years of formal or informal supervisory/ management/ team management/ leadership experience
+ Strong understanding and broad application of analysis engineering toolsets and methods
+ Strong understanding of solid rocket motor system physics, design and testing
+ Ability to travel: Travel expectation of 5% - 10%
**Experience Preferred:**
+ Strong understanding of the Loads and Environments methods (Mechanical Vibration, Acoustics, Finite Element Analysis, Time Series Data Analysis, Vibration Testing)
+ Engineering leadership experience in solid rocket motor development and qualification
+ Demonstrated experience with internal and external customers
+ Employee talent identification and development
+ 10 years in analysis engineering methods.
+ Masters in engineering
**Skills & Qualifications:**
+ Demonstrated experience with team leadership and critical decision making skills
+ Strong understanding and broad application of PSD engineering systems (engineering standards, configuration management, uncertainty analysis, workflow, resource management, software, etc.)
+ Demonstrated experience in generating analyses and associated risks
+ Management of engineering discipline supporting multiple development and qualification programs
+ Strong sense of urgency and work ethic in ensuring engineering meets customer and program expectations in a timely and efficient manner
+ Strong verbal and written communication skills including demonstrated experience with high value customer presentations
+ Demonstrated team leadership skills - leader of significant technical projects, analysis tools or failure investigations
+ Excellent time management and organizational skills
**Education/Certifications:**
+ BS degree in Engineering and 9 years, MS and 7 years or PhD and 4 years of experience
+ Must be a U.S citizen
**Salary Range:** $156,000 - $234,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Corinne, UTR10154327UtahUTManager Structural Engineering 3NoneDE2B56A0CDAC4C349646D192C1FAA073http://northropgrumman-veterans.jobs/DE2B56A0CDAC4C349646D192C1FAA07323BellevueNorthrop GrummanUnited StatesUSA2024-03-28 06:15:23At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Defense Systems (NGDS), Bellevue, NE** is currently seeking a **Sr. Principal Agile Coach** . The successful candidates will demonstrate a broad skill set balanced between interpersonal and technical capabilities achieving sustainable quality products. They will have a track record as an effective communicator and problem solver who is able to develop and maintain good working relationships with internal and external stakeholders.
The selected candidate will also become a supporting member of the Agile Community of Practice providing input into plans and provide status on coaching and training as requested. The Sr. Principal Agile Coach may provide open enrollment training and backfill other coaching engagements on an as-needed basis and engage with the other Northrop Grumman Lean-Agile coaches to continue to strengthen their skills and experiences.
**Responsibilities:**
+ Leads the development of Agile engineering and management best practices, metrics, governance, and organizational change management practices
+ Coaches teams and programs in Agile principles and practices
+ Enables delivery at scale using techniques such as program increment planning, Dev*Ops, portfolio management, Agile product delivery, and business agility
+ Leads Agile organizational transformation across Defense Systems to build a modern delivery capability, including setting a vision, aligning necessary resources, and communicating to executive leadership on status/milestones
+ Analyzes and articulates complex subject matter in an easily understood manner via white papers and presentations that are provided to customers and stakeholders
+ Works effectively in cross functional (operations, management, and financial) teams
**Basic Qualifications:**
+ High school diploma or GED with a minimum of 13 years of broad technical experience
+ Will also consider:
+ Bachelor's degree in science, technology, engineering, or mathematics (STEM) discipline with a minimum of 9 years of broad technical experience
+ Master's degree in a STEM discipline with a minimum of 7 years of broad technical experience
+ PhD in a STEM discipline with a minimum of 4 years of broad technical experience
+ Experience working with one or more of the following frameworks/methodologies: Lean, Scrum, Kanban, Value Stream Mapping, Feature Driven Development, Test Driven Development, Continuous Integration & Delivery, Dev*Ops, Scaled Agile (SAFe), XP, and Scrum@Scale
+ Active U.S. Department of Defense (DoD) Secret Security Clearance
+ Ability to work on-site at the Bellevue, NE location.
**Preferred Qualifications:**
+ Ability to influence change across all levels of leadership through relationship building and collaboration
+ Strong communication and organizational skills with the ability to prioritize work to ensure milestones are met
+ A self-starter that seeks opportunities to coach and implement Agile methodologies to improve organizational performance
+ Working knowledge of Information Systems, Computer Networking, and Cybersecurity
+ DoD Top Secret security clearance
**Salary Range:** $114,900 - $172,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Bellevue, NER10154637NebraskaNESr. Principal Agile Coach (AHT)NoneBD08C28AFDD54B76BCADFA413549A2FEhttp://northropgrumman-veterans.jobs/BD08C28AFDD54B76BCADFA413549A2FE23IukaNorthrop GrummanUnited StatesUSA2024-03-28 06:15:23At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems (NGAS) has an opening for a **Manufacturing Analyst 2/3** to join our team of qualified, diverse individuals supporting our Tooling/Metrology organization in **Iuka, MS** .
We are a world-class manufacturer of aircraft structures systems for military applications. We design and manufacture solutions for our war fighters to keep our country safe and maintain peace on a global scale.
The Manufacturing Analyst 2/3 will be a part of the Tooling team and will support production, business, and other processes in a team-based manufacturing environment while interfacing with a variety of cross-functional areas including: Program management, engineering, manufacturing, quality, facilities, and procurement.
The ideal candidate for this role will have excellent verbal and written communication skills and be comfortable communicating with multiple levels of leadership. They will work in a team environment, be comfortable with presenting data, be able to perform complex professional tasks, have excellent problem-solving abilities to develop solutions to a variety of problems of moderate scope and complexity, and be comfortable working with minimal supervision and guidance. The selected candidate must understand the importance of using discretion, latitude, and must have high integrity. If you are looking for an opportunity to grow with a leader in the Aerospace Industry, this is the perfect opportunity for you!
****This is a 1st shift position working 9/80 schedule with every other Friday off. This position requires onsite presence due to the nature of the role.**
**Essential Functions / Responsibilities:**
+ **Work with Tooling Management and various stakeholders to identify communication, usability, and design goals for the internal SharePoint site.**
+ **Analyze trends and variances to identify potential risks and issues and recommend corrective actions as needed.**
+ **Develop, analyze and maintain estimates to completion and perform monthly budget, EAC, actuals and Variance Analysis for Leadership briefings.**
+ **Tracking of weekly actuals against monthly/yearly targets and month maintenance of Earned Value Performance, EAC workbooks, QBD/QSST updates and Variance Analysis reports.**
+ **Coordination with cross functional teams across multiple organization including Global Supply Chain, Manufacturing, Engineering and Business Management to ensure effective communication and coordination.**
+ **Review tool orders.**
+ **Manage all aspects of departmental procurement requests submitted in TMS.**
+ **Responsible for budgeting and tracking of indirect spending for Tooling Material**
**This requisition may be filled as Manufacturing Analyst (level 2) OR Principal Manufacturing Analyst (level 3).**
**Basic Qualifications Manufacturing Analyst Level 2** :
+ **Bachelor's degree with 3 years' related experience or Master's degree with 1 year of experience.**
+ **Experience in a manufacturing or Tooling environment.**
+ **Ability to work within MS applications i.e., MS Word, (report writing) Excel (with the ability to create, edit, log and track data in spreadsheets), MS PowerPoint (ability to create, edit and present information).**
+ **Must have current and active DoD Secret Security Clearance**
+ **Must have the ability to obtain Program Access Request (PAR) within a reasonable period of time as determined by the company to meet its business needs.**
**Basic Qualifications Principal Manufacturing Analyst Level 3** :
+ **Bachelor's degree with 6 years' related experience or Master's degree with 4 years of experience.**
+ **Experience in a manufacturing or Tooling environment.**
+ **Experience working with Management to establish baselines for cost, schedule, and scope, and track actual performance against those measures**
+ **Ability to work within MS applications i.e., MS Word, (report writing) Excel (with the ability to create, edit, log and track data in spreadsheets), MS PowerPoint (ability to create, edit and present information).**
+ **Must have current and active DoD Secret Security Clearance**
+ **Must have the ability to obtain Program Access Request (PAR) within a reasonable period of time as determined by the company to meet its business needs.**
**Preferred Qualifications:**
+ **Experience tracking financials and updating cost analysis records**
+ **Experience interfacing with internal teams and external suppliers and vendors.**
+ **Experience with Siemens NX and Catia V5**
+ **Experience in an engineering or aerospace environment**
+ **Experience using Manufacturing Execution System (MES)**
+ **Project management experience**
+ **Ability to read and interpret 2-D drawings/blueprints**
**What we offer:**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer comprehensive benefits including competitive medical and dental options, a great 401K matching program, college education assistance, annual bonuses, 14 Employee Resource Groups inclusive of all employees, and opportunities for career advancement across North America.
**Salary Range:** $59,000 - $88,400
**Salary Range 2:** $72,600 - $108,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Iuka, MSR10154467MississippiMSManufacturing Analyst 2/3NoneEEB1F86DE8A14DC591FA88A87BED72EFhttp://northropgrumman-veterans.jobs/EEB1F86DE8A14DC591FA88A87BED72EF23FairfaxNorthrop GrummanUnited StatesUSA2024-03-28 06:15:22At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they are making history.
The **Payload and Ground Systems** organization within the **Northrop Grumman Space Systems** pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, and innovative technologies to develop, design, produce, and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today. #definingpossible
Northrop Grumman is looking for a Staff Systems/Software Architect to join the program. **This position can be filled from either Fairfax, VA, Linthicum, MD, Aurora, CO, Morrisville, NC or Redondo Beach, CA.**
**What You'll Get to Do:**
+ Technical leader for the system architecture - ensuring system is well architected, designed, developed, and implemented
+ Collaborate with Systems Engineering, Integration, and Test (SEIT), Software Engineering, and Infrastructure (including cyber) teams throughout the system development life cycle
+ Diplomatically interface with all levels of management, employees, internal and external customers, and vendors
+ Liaison with the Product Owner to manage the product road map and communicating the impact of changing customer requirements
+ Identifying technical risks/opportunities and proactively developing plans to mitigate and release them
+ Understanding of DoD systems engineering requirements
**Basic Qualifications:**
+ Strong understanding of SEIT and Software Engineering
+ Experience in Model Based Systems Engineering
+ Experience with Ground architectures, cloud-based and bare metal environments
+ Experienced with service-oriented architecture
+ Experience with DevSecOps environments in CI/CD pipelines
+ Experience with Database Technologies
+ Experience working on Agile programs and methodology
+ Experience with the Atlassian tool suite (Jira, Confluence, Bitbucket)
+ Experience with Ground Segment
+ Demonstrated problem solving and decision making skills
+ Proactive, highly motivated, and adaptable, with excellent organizational skills including the ability to juggle multiple tasks, changing needs, and competing priorities. Individual must be able to complete a wide variety of tasks with minimal supervision
+ Experience leading a team of software engineers in software development deliverables and processes
+ Excellent leadership and interpersonal communications skills, with the ability to interface with all levels of employees and management
+ TS/SCI and willingness to sit for polygraph
+ Able to work in a dynamic, in office environment
**Preferred Qualifications:**
+ Experience with design and development of national security space or commercial space, interfaces, and ground systems architecture and/or integration of multiple IPTs is strongly preferred
+ Experience working in a defense customer and aerospace industry firm
+ Experience with Northrop Grumman processes and procedures
+ Ability to identify process bottlenecks and implement Process improvement with multiple stakeholder
**Salary Range:** $150,000 - $247,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fairfax, VAR10154505VirginiaVAStaff Engineer Systems/Software ArchitectNone9B01887BF0964C249BAA930DEE296206http://northropgrumman-veterans.jobs/9B01887BF0964C249BAA930DEE29620623RoyNorthrop GrummanUnited StatesUSA2024-03-28 06:15:22At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Staff Engineer System Test** . This position is located in **Roy, UT** or **Huntsville, AL** and supports the Sentinel program. This role may offer a competitive relocation assistance package.
**What You'll Get To Do:**
Northrop Grumman Space Systems is seeking a Staff Engineer Systems Test for the Infrastructure Modeling Simulation & Test team. This position will be responsible for leading integration and test efforts across the infrastructure subsystems.
In this role, you will be responsible for:
• Providing technical leadership to a team of approximately 5 engineers responsible for overseeing test planning and execution for mechanical and structural subsystems that are part of the Command and Launch, Infrastructure products.
• Coordinate integrated testing activities across all stakeholders from design, analysis and systems engineering.
• Oversee and direct both test house activities and supplier testing activities as the technical lead.
• Derive testing requirements into element test solutions that acknowledges technical schedule and cost constraints to meet program critical milestones.
• Serve as a technical lead and mentor to junior engineers on the Infrastructure Test team.
• Review and evaluate test requirements to ensure completeness of test program.
• Perform technical analysis of complete systems and prepare comprehensive system level evaluation to include support of verification and validation of the mechanical and structural subsystems.
• Supporting development of instrumentation requirements for testing.
**Position Benefits**
+ As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Job Qualifications:**
**You'll Bring These Qualifications:**
• 14 Years with Bachelors in Science; or 12 Years with Masters; or 9 Years with PhD; 4 additional years of experience may be considered in lieu of degree
• US Citizenship with an Active Secret Clearance or higher (awarded within the past 6 years) with the ability to receive a Special Access Program (SAP) certification.
• Experience with development and qualification testing, including of test planning, execution, and reporting phase of test.
• Experience leading a multidisciplinary team.
• Experience preparing and presenting technical discussions to leadership and customers.
**These Qualifications Would be Nice to Have:**
• US Citizenship with an Active Top-Secret Clearance or higher (awarded within the past 6 years) with the ability to receive a Special Access Program (SAP) certification.
• Hardened Structure testing experience
• Experience with Delay or Blast Analysis
• DoD acquisition experience
• Experience interfacing with Government Agencies.
• Understanding of A&E regulations
• Experience with A&E testing including test planning, instrumentation design and test execution.
• Safety training for A&E related testing.
• System Engineering experience including MBSE, requirements decomposition, and Verification and Validation
**Salary Range:** $129,500 - $214,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10154530UtahUTSentinel - Staff Engineer Systems Test - 12725NoneFFCF58C02D084AF7B834B8E58C41ACBBhttp://northropgrumman-veterans.jobs/FFCF58C02D084AF7B834B8E58C41ACBB23PalmdaleNorthrop GrummanUnited StatesUSA2024-03-28 06:15:21At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems (NGAS)** sector has an opening for a **Materials & Process (M&P) Functional Homeroom Section Manager** (Aeronautical Engineering Manager 2) to join our team of qualified, diverse individuals. This position will support Strike within the Vehicle Engineering directorate in **Palmdale, CA** .
**Job Summary** : In this role, you will be responsible for overseeing a cross-functional engineering team of approximately 15 staff members and leading all relevant managerial tasks. This is a hands-on leadership role encompassing both functional management (approx. 20%) and technical performance (approx. 80%) on a specific program. The 80% technical statement of work is aligned with analyzing, researching, and developing materials and their related application processes for use in engineering design of and/or application in structures, systems, and subsystems.
**Responsibilities may include:**
+ New conceptual layouts, detailed engineering designs of structural composites, modifications, integration of advanced materials, and drawing reviews.
+ Performing detailed technical reviews of drawings, specifications, and manufacturing plans in a team environment with other engineering organizations
+ Perform Workforce Planning in collaboration with the functional homeroom such as staffing, hiring, and onboarding.
+ Champion positive change within the organization to achieve competitive advantage for the division and sector.
+ Develop and improve personnel, organizational technical skills, and experience with aircraft subsystems.
+ Work with Human Resources to mediate and resolve personnel issues.
The successful candidate shall demonstrate strong leadership skills to recruit, manage, and retain employees. The selected candidate shall be an effective communicator and problem solver who will develop and maintain good working relationships with internal and external stakeholders. This candidate will demonstrate a commitment to ethics and integrity, and the capability to innovate while challenging traditional ways of doing business. Demonstrating abilities to build diverse and inclusive teams with high levels of engagement, including mentoring and coaching. Excellent verbal and written communication skills are required.
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement, and respond vigorously to change.
**Basic Qualifications:**
+ Bachelor's degree in a related Science, Technology, Engineering, or Math (STEM) discipline and 9 years of relevant experience; OR Master's degree in related STEM discipline and 7 years of relevant experience
+ Knowledge of Materials & Processes with demonstrated technical ownership experience in requirements analysis, architecture development, integration, test, and/or verification
+ Familiarity with aerospace design and verification processes including requirements development and verification, preliminary and detailed design, MRP, Planning, Manufacturing, Procurement and Maintenance
+ Must have an active DoD Secret or higher clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation)
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance prior to start
**Preferred Qualifications:**
+ Degree in Material Science, Metallurgy, Chemistry, or similar
+ Experience with composite aerospace structures
+ Prior experience in a lead role in a technical engineering capacity
+ Demonstrated leadership skills and the ability to work effectively in a team environment
+ Effective communication and presentation skills
+ High proficiency in technical problem-solving
+ Current DoD Top Secret clearance
**Salary Range:** $151,900 - $227,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10153580CaliforniaCAMaterials and Process Engineering Manager 2None1FF1F047FA1B430A97D05D39C7765479http://northropgrumman-veterans.jobs/1FF1F047FA1B430A97D05D39C776547923Oklahoma CityNorthrop GrummanUnited StatesUSA2024-03-28 06:15:21At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Our Platform Laboratory Systems & Design (PLSD) group is seeking a **Mechanical Engineer/Principal Mechanical Engineer (Level 2/3)** to join our team of qualified and diverse individuals in Oklahoma City, OK. You will become part of a Northrop Grumman team of professionals who are actively developing Avionics Testing Solutions and Specialized Test Equipment for the B-2 Stealth Bomber and LGM-35A Sentinel Missile platforms.
**Responsibilities:**
+ Oversight and ownership of engineering design as well as 2D/3D drawing formats and standards for a wide array of mechanical products:
+ Product details
+ Product assemblies
+ Test Bench/Bay assemblies
+ Top Level installations
+ Development and coordination for internal and customer design reviews for the mechanical and system level designs of our products
+ Development of supporting documentation (operating / user manuals, etc.) for our products
+ Assist in troubleshooting of lab equipment as failures occur
+ Coordination with engineering manager(s) to ensure product technical data packages meet project schedule commitments
+ Coordinate between several internal and external stakeholder groups (Software Development, System Test, Cyber Operations, Procurement, etc.) to achieve contractual commitments
**Basic Qualifications:**
+ **Mechanical Engineer:** Bachelor's degree in Mechanical/Aerospace Engineering (or equivalent) with 2 years of related engineering experience; OR a Master's degree with 0 years of related engineering experience
+ **Principal Mechanical Engineer:** Bachelor's degree in Mechanical/Aerospace Engineering (or equivalent) with 5 years of related engineering experience; OR a Master's degree with 3 years of related engineering experience; OR a PhD with 0 years of related engineering experience
+ Ability to listen effectively, take direction, and possess initiative to accomplish assigned tasks and complete work in a timely fashion
+ Ability to read engineering drawings and specifications
+ Must be a U.S. Citizen with ability to obtain a DoD SECRET security clearance & special program access
**Preferred Qualifications:**
+ Active DoD SECRET or higher security clearance with investigation in the last five (5) years
+ Active Program clearance
+ Master's Degree in Mechanical/Aerospace Engineering
+ Experience leading multi-disciplinary technical teams
+ Experience in Aerospace or Defense industry (development or test)
+ Experience in Additive Manufacturing or 3D-Print design & technologies
+ Experience in an Agile development environment
+ Experience in Instrumentation or Avionics Systems
+ Experience with any of the following CAD Software Tools and Data Management Products: CATIA, SolidWorks Mechanical/PDM, Siemens NX/Capital, Enovia SmarTeam, Team Center, Ansys, Femap/Nastran/Patran
**Salary Range:** $64,900 - $119,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Oklahoma City, OKR10154386OklahomaOKMechanical Engineer/Principal Mechanical Engineer- OKCNone328581387436463C845F5DBF69CC14F4http://northropgrumman-veterans.jobs/328581387436463C845F5DBF69CC14F423FairfaxNorthrop GrummanUnited StatesUSA2024-03-28 06:15:21At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they are making history.
The **Payload and Ground Systems** organization within the **Northrop Grumman Space Systems** pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, and innovative technologies to develop, design, produce, and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today. #definingpossible
The Mission Exploitation Operating Unit (MEXOU) is looking for a Sr. Staff Systems Engineer to join the program supporting the Systems Engineering, Integration and Test (SEIT) organization as the SEIT Lead. **This position can be filled from either Fairfax, VA or Linthicum, MD**
**Roles and responsibilities of the SEIT Lead to include, but are not limited to:**
+ Diplomatically interface with all levels of management, employees, internal and external customers, and vendors
+ Lead a diverse engineering team and be responsible for the end-to-end technical execution, cost, schedule, quality, and staffing for the MEXOU product line
+ This position requires multidiscipline knowledge in all areas related to engineering including systems design, hardware, and integration and test.
+ The candidate will be reliable, resourceful, work accurately and independently with an ability to anticipate, initiate, and follow through with all responsibilities.
+ The SEIT Lead will oversee engineering program planning and execution, Earned Value Management System (EVMS) reporting, briefings and providing overall leadership and coordination of a multi-disciplined Engineering team.
+ The position will be tasked with project leadership and cost account management (CAM) responsibilities.
+ Engineering Review Board representation over total systems lifecycle (SRR, SFR, SDR, PDR, CDR, SVR, TRR, PRR, and FRR) for the system technical baseline
+ Understanding of DoD systems engineering requirements
**Basic Qualifications:**
+ Strong understanding of requirements analysis, derivation, and management, CONOPs development, cost and risk analysis, and system design.
+ Bachelor's degree in a STEM discipline with 14 years of relevant experience; 12 years with a Master's; or 9 years with a PhD.
+ Proactive, highly motivated, and adaptable, with excellent organizational skills including the ability to juggle multiple tasks, changing needs, and competing priorities. Individual must be able to complete a wide variety of tasks with minimal supervision.
+ Able to work in a dynamic, operational environment at a government off-site.
+ Experience working in a technical environment, with interface to engineering and science leaders, managers, and employees.
+ Expertise in Microsoft Office Suite (Word, PowerPoint, Outlook and Excel) and intranet/internet proficiency
+ **Top Secret Clearance** /w SCI eligibility and willingness to sit for a poly
**Preferred Qualifications:**
+ Experience with design and development of national security satellites, interfaces and ground systems architecture and/or integration of multiple IPTs is strongly preferred
+ Experience working on Agile programs and methodology.
+ Understanding and implementation of Model Based Systems Engineering
+ TS/SCI with poly
+ Experience working in a defense customer and aerospace industry firm.
+ Experience with Northrop Grumman processes and procedures
**Salary Range:** $174,800 - $274,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fairfax, VAR10154020VirginiaVASr Staff Engineer Systems (SEIT Lead)NoneBADD6844A8834F4AB64C09EDF11EE4D4http://northropgrumman-veterans.jobs/BADD6844A8834F4AB64C09EDF11EE4D423SunnyvaleNorthrop GrummanUnited StatesUSA2024-03-28 06:15:20At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Missions Systems sector is seeking a Project Manager, to support the COLUMBIA Turbine Generator (TG) Program located in our Sunnyvale, CA facility. This is an onsite position.
Primary responsibilities are as follows:
+ Maintain methodical record of official customer correspondence, distributing that correspondence to the right team members in a timely manner
+ Collaborate with scope owners on the program to track and assess scope closeout, burndown plans, and performance metrics
+ Work cross-functionally to track and assess open liability closeout, particularly working to communicate clear progress and objective evidence needs with program leadership and the customer
+ Assist program leadership with slide creation covering program metrics for customer and internal statusing
+ Will need strong organizational and records keeping skills, as well as be able to work with a wide range of team members to collect and understand raw data used for assessing program progress/create metrics
+ Background in metrics and reporting, particularly in a heavily engineering based environment a plus
Minimum Requirements:
+ Bachelor's degree and 3 years of experience
+ Experience working on large programs
+ The ability to obtain and maintain a DoD Secret Clearance
Preferred Requirements:
+ The ability to manage communications with stakeholders through organized processes to ensure that program information is defined, collected, shared, understood, stored and retrieved in a manner that effectively meets program and stakeholder needs
**Salary Range:** $72,100 - $108,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Sunnyvale, CAR10145125CaliforniaCAProject ManagementNone514CB7C1BB4C442CBDB35D47017D83A3http://northropgrumman-veterans.jobs/514CB7C1BB4C442CBDB35D47017D83A323SykesvilleNorthrop GrummanUnited StatesUSA2024-03-28 06:15:20At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**The Northrop Grumman Mission Systems Sector - located in Sykesville, MD - has an immediate need for an Operations Project Manager (OPM).** The OPM must be a self-starter, self-motivated individual who can work in a fast-paced environment. This job may be filled as an OPM or a Principal OPM based on the qualifications below. This is not a Manager job. This is an individual contributor role.
**The responsibilities of an OPM include:**
+ Managing and maintaining the day-to-day interfaces and communications between the assigned Program Management Office (PMO), Manufacturing, Test, Manufacturing Resource Planning (MRP), Mission Assurance (MA), Supply Chain (SCM), Engineering, and Shipping.
+ Achieving targeted cost and schedule requirements.
+ Facilitating internal meetings in a way to support design, production, and problem resolutions.
+ Reporting Earned Value Management System (EVMS) and serving as the Cost Account Management (CAM) for manufacturing projects.
+ Leading or participating on a product team, responsible for product-level requirements analysis, engineering and manufacturing of the products assigned, product tracking, and product visibility.
+ Representing manufacturing at the program Risk Review Board (RRB) meetings and creating / managing any applicable manufacturing risks and/or opportunities from the program risk register.
+ Supporting and generating proposal documentation as needed to foster new work.
**Basic Qualifications for an OPM:**
+ A Bachelor's degree and 2+ years of relevant work experience or 0+ years of relevant work experience with a Master's degree
+ The candidate must have experience in leadership and project management.
+ Ability to obtain and maintain a Secret Security Clearance per business requirements (US citizenship required)
+ Experience with SAP or other Enterprise Resource Planning (ERP) system and MS Office applications including Excel, Word, Project, and Power Point
**Preferred Qualifications:**
+ Master's degree in Engineering or Business Management
+ Experience with cost, schedule, project leadership, and/or process improvement
+ Manufacturing or Engineering experience
+ Experience with bases of estimates, shop order creation, and Earned Value Management Systems (EVMS)
+ Active Secret clearance
**Basic Qualifications for a Principal OPM:**
+ A Bachelor's degree and 5+ years of relevant work experience or 3+ years of relevant work experience with a Master's degree
+ The candidate must have experience in leadership and project management.
+ Ability to obtain and maintain a Secret Clearance per business requirements (US citizenship required)
+ Experience with SAP or other Enterprise Resource Planning (ERP) system and MS Office applications including Excel, Word, Project, and Power Point
**Preferred Qualifications:**
+ Master's degree in Engineering or Business Management
+ Experience with cost, schedule, project leadership, and/or process improvement
+ Manufacturing or Engineering experience
+ Experience with bases of estimates, shop order creation, and Earned Value Management Systems (EVMS)
+ Active Secret clearance
**Salary Range:** $83,300 - $124,900
**Salary Range 2:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Sykesville, MDR10152959MarylandMDOperations Project Manager or Principal Operations Project ManagerNone89A3B741155045AF91DC805D23714795http://northropgrumman-veterans.jobs/89A3B741155045AF91DC805D2371479523FairfaxNorthrop GrummanUnited StatesUSA2024-03-28 06:15:19At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they are making history.
The **Payload and Ground Systems** organization within the **Northrop Grumman Space Systems** pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, and innovative technologies to develop, design, produce, and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today. #definingpossible
The Mission Exploitation Operating Unit (MEXOU) is looking for a Staff Systems Engineer to join the program supporting the Systems Engineering, Integration and Test (SEIT) organization as the SEIT Lead. **This position can be filled from either Fairfax, VA or Linthicum, MD**
**Roles and responsibilities of the SEIT Lead to include, but are not limited to:**
+ Diplomatically interface with all levels of management, employees, internal and external customers, and vendors
+ Lead a diverse engineering team and be responsible for the end-to-end technical execution, cost, schedule, quality, and staffing for the MEXOU product line
+ This position requires multidiscipline knowledge in all areas related to engineering including systems design, hardware, and integration and test.
+ The candidate will be reliable, resourceful, work accurately and independently with an ability to anticipate, initiate, and follow through with all responsibilities.
+ The SEIT Lead will oversee engineering program planning and execution, Earned Value Management System (EVMS) reporting, briefings and providing overall leadership and coordination of a multi-disciplined Engineering team.
+ The position will be tasked with project leadership and cost account management (CAM) responsibilities.
+ Engineering Review Board representation over total systems lifecycle (SRR, SFR, SDR, PDR, CDR, SVR, TRR, PRR, and FRR) for the system technical baseline
+ Understanding of DoD systems engineering requirements
**Basic Qualifications:**
+ Strong understanding of requirements analysis, derivation, and management, CONOPs development, cost and risk analysis, and system design.
+ Bachelor's degree in a STEM discipline with 14 years of relevant experience; 12 years with a Master's; or 9 years with a PhD.
+ Proactive, highly motivated, and adaptable, with excellent organizational skills including the ability to juggle multiple tasks, changing needs, and competing priorities. Individual must be able to complete a wide variety of tasks with minimal supervision.
+ Able to work in a dynamic, operational environment at a government off-site.
+ Experience working in a technical environment, with interface to engineering and science leaders, managers, and employees.
+ Expertise in Microsoft Office Suite (Word, PowerPoint, Outlook and Excel) and intranet/internet proficiency
+ **Top Secret Clearance** /w SCI eligibility and willingness to sit for a poly
**Preferred Qualifications:**
+ Experience with design and development of national security satellites, interfaces and ground systems architecture and/or integration of multiple IPTs is strongly preferred
+ Experience working on Agile programs and methodology.
+ Understanding and implementation of Model Based Systems Engineering
+ TS/SCI with poly
+ Experience working in a defense customer and aerospace industry firm.
+ Experience with Northrop Grumman processes and procedures
**Salary Range:** $157,500 - $247,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fairfax, VAR10154550VirginiaVAStaff Engineer Systems (SEIT Lead)NoneF47803782F5843E7930F335EF2CC3532http://northropgrumman-veterans.jobs/F47803782F5843E7930F335EF2CC353223NorthridgeNorthrop GrummanUnited StatesUSA2024-03-28 06:15:18At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is currently seeking a **RF** **Microwave Design Engineer** that will be located in Northridge, CA.
**Job Duties:**
Designs, develops, tests radio frequency (RF) and/or microwave systems architecture, components, circuits, or products. Includes antenna design, both reflector and array systems, frequency synthesizers, transmitters and receivers for tactical missile system development and production programs.
**Key responsibilities include:**
+ Supports development of RF and Antenna designs and evaluations of engineering design concepts
+ Creates test plans and procedures for a variety of RF and Environmental testing.
+ Develops specifications and requirements, and failure reports.
+ Participates in RF testing and measurement using RF instruments and anechoic chambers.
+ Identifies opportunities for improvement based on review of failure data and supports development and implementation of component design improvements or updates.
+ Supports creation designs and simulation models using computer modeling tools.
+ Supports design reviews and test activities, as needed.
**Basic Qualifications:**
+ BS in Electrical Engineering or related technical discipline with 2-5 years of related experience or MS in similar disciplines with 0 years of related experience.
+ **Active DoD Secret Clearance desired. Ability to obtain a DoD Secret Clearance is required.
+ Ability to measure using RF Network Analyzers, Spectrum Analyzers, and signal generators and interpret RF Test data and radiation patterns.
+ Strong interpersonal skills and an ability to build effective working relationships.
+ Self-starter capable of performing assigned tasks with some direction.
+ Ability to work with cross-functional teams.
**Preferred Qualifications:**
+ Experience in RF product design and development, with antenna knowledge preferred
+ Experience with high temperature environments preferred
+ Experience with Flight Hardware preferred.
+ Experience with Ansys HFSS software or equivalent modeling tools preferred.
+ Ability to read and interpret Engineering drawings/schematics.
*Living in Northridge offers a perfect combination of urban living with the beauty of nature. It is a short drive away from downtown Los Angeles, the Hollywood Hills, or the rest of the San Fernando Valley.
Take advantage of your flexible work schedule to enjoy shopping at the local Northridge Fashion Center or spend time at the local Recreation Center as well as easy access to nearby protected natural areas such as the Chatsworth Reservoir, the Santa Susana Pass State Historic Park, Lake Balboa or within driving distance, spend a day at the beach or snow ski at our local mountains. With Cal State Northridge right in the heart of town, the area has an active college-town energy combined with family-friendly suburban charm. The stunning southern California climate makes for year-round easy living. With an average of 284 sunny days during the year , it is safe to say you'll have plenty of time to enjoy your outdoor activities to the fullest.
\#definingpossible
For more information, please click on this link below:
Northrop Grumman in Northridge-California - Northrop Grumman
**Salary Range:** $87,200 - $130,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Northridge, CAR10147928CaliforniaCAEngineer RF Microwave DesignNone14BD5A310AF54953BB4005B5EEC65740http://northropgrumman-veterans.jobs/14BD5A310AF54953BB4005B5EEC6574023SunnyvaleNorthrop GrummanUnited StatesUSA2024-03-28 06:15:18At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our Engineering and Sciences (E&S) organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
Northrop Grumman Mission Systems is seeking an Electromagnetic Engineer for the development and ongoing support of new and existing electrical designs used in surface ship and submarine propulsion and power generation systems for the US Navy based out of **Sunnyvale, CA** . The prospective candidate will work with a group of incredible people with backgrounds in Mechanical, Electrical, and Systems Engineers with focus on Control Systems and Electromagnetic Design. The prospective candidate researches, develops, designs, and tests electrical components, equipment, and systems.
This requisition may be filled at a higher grade based on qualifications listed below:
**Basic Qualifications for an** **Electromagnetic Engineer:**
+ Bachelor's degree in Mechanical, Aerospace, Electrical Engineering or related Science, Technology, Engineering, Mathematics (STEM) discipline with 2 years related experience; or Master's degree in Mechanical, Aerospace, Electrical Engineering or related Science, Technology, Engineering, Mathematics (STEM) discipline no related experience.
+ Demonstrated abilities and experience in electromagnetic machine design.
+ Electromagnetic Modeling and Analysis ability and experience.
+ Must be a US citizen with the ability to obtain a DOD secret security clearance.
**Basic Qualifications for a Principal** **Electromagnetic Engineer:**
+ Bachelor's degree in Mechanical, Aerospace, Electrical Engineering or related Science, Technology, Engineering, Mathematics (STEM) discipline with 5 years related experience; or Master's degree in Mechanical, Aerospace, Electrical Engineering or related Science, Technology, Engineering, Mathematics (STEM) discipline 3 years related experience.
+ Demonstrated abilities and experience in electromagnetic machine design.
+ Electromagnetic Modeling and Analysis ability and experience.
+ Must be a US citizen with the ability to obtain a DOD secret security clearance.
**Preferred Qualifications:**
+ Structural and Rotating element analysis ability and experience
+ 2+ years of work experiences with DOD related products
+ PCB/CCB design ability and experience
+ Experience with Electromagnetic Interference testing and design for mitigation
+ MATLAB/Simulink proficiency
+ Experience with Flux.
+ Understanding of FPGA concepts and programming
+ Experience working with Large Scale Rotating Electric Machinery
+ Current DOD secret security clearance
**Salary Range:** $87,200 - $130,800
**Salary Range 2:** $107,300 - $160,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Sunnyvale, CAR10154625CaliforniaCAElectromagnetic Engineer / Principal Electromagnetic EngineerNoneD593BEE538854FAB9D895BF45464483Bhttp://northropgrumman-veterans.jobs/D593BEE538854FAB9D895BF45464483B23San DiegoNorthrop GrummanUnited StatesUSA2024-03-28 06:15:16At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Strategic Space Systems Division (SSSD) is an industry-leading provider for prime satellite and payload capabilities and directed energy and electronics solutions for national security, military, and civil customers. We are built on a heritage of providing innovative, affordable and reliable aerospace and defense products that our customers rely on to achieve mission success. Join the Space revolution and make the impossible, possible.
SSSD has an opening for a Calibration Technician 2 to join our team of qualified, diverse individuals. This position will be located in Rancho Carmel, CA.
Calibrates electronic test measuring equipment and signal generating equipment to conform to set standards. Tests, calibrates, adjusts, and maintains electromechanical, mechanical, optical, pneumatic, hydro mechanical, and pressure-type measuring and indicating instruments. Sets up calibration sequences, methods and procedures according to detailed specifications, blueprints, drawings, and requirements. Disassembles, cleans, repairs, and replaces defective parts of test equipment such as pressure gauges and tension meters. May monitor and verify quality in accordance with statistical process or other control procedures.
The Calibration Technician 2 is distinguished by demonstrated competence in independently developing and executing unique measurements, repairing and calibrating a wide variety of equipment in different disciplines, and detecting and resolving difficult problems. Independently services, repairs and calibrates test and measuring equipment which fail to respond to normal diagnostic and corrective practice.
Develops diagnostic techniques and adapts equipment to accomplish necessary repairs and measurements. Adapts measuring equipment, standards and techniques to accomplish unique measurements beyond their routine uses.
Documents procedures, methods and systems for performing measurements. Assists equipment users in devising methods and procedures for obtaining measurements and may be required to operate the equipment/systems for unique or difficult measurements.
This is a 1st shift position with flexibility to begin at 8am PST
**As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:**
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Basic Qualifications:**
+ High school diploma or equivalent and 2 years additional education
+ Experience in calibration lab setting: DC/Low Frequency
+ Ability to obtain and maintain an SCI clearance
**Preferred Qualifications:**
+ PMEL or pre-engineering education (with degree or certification) and three (3) years
+ Dc/Low Frequency experience in metrology functions.
+ Demonstrated experience in progressively more complicated calibration and maintenance, troubleshooting and repair of precision measuring equipment and calibration systems.
+ Knowledge of measurement theory application and processes in more than one discipline.
+ Active SCI Clearance.
**Salary Range:** $53,000 - $88,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10143908CaliforniaCACalibration Technician 2None4F12C6DBC0A547F79128DFFB12415EC5http://northropgrumman-veterans.jobs/4F12C6DBC0A547F79128DFFB12415EC523San DiegoNorthrop GrummanUnited StatesUSA2024-03-28 06:15:16At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career launching innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the country. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you join us. Our space systems connect and protect millions of people on Earth every day, now and into the future. Explore your future and launch your career today.
The Northrop Grumman Space Sector Communications team is looking for a savvy and strategic Public Relations lead to help manage communications that support the Mission Enabling Products business unit within our Payload & Ground Systems Division (PGSD) team. Ideally, this individual will be located within commutable distance of our San Diego, CA facility. We will consider individuals within commutable distance of our Redondo Beach CA; Oxnard CA; San Diego CA; Goleta CA; Beltsville MD; or, Linthicum MD facilities.
The ideal candidate is a motivated self-starter and strategic mastermind capable of overseeing many projects at once, with a strong and passionate knowledge of the aerospace industry. You must be detail-oriented, have excellent written and verbal communication skills, and have a knack for dissecting a communication task to get to the "why." The candidate must have demonstrable skills in developing strategic communications plans as well as the coordination, development and execution of content creation for scripts, digital, print, and other communications platforms.
**You'll be a great fit if you:**
+ Are a self-motivated communications strategist who thrives in a fast-paced environment and is not afraid to propose new solutions.
+ Have experience blending internal and external communications and have a track record of developing and implementing successful communications campaigns.
+ Have a passion for aesthetics and creative storytelling that positively impact both product publicity and employee culture.
+ Are a good project manager with impeccable attention to detail.
+ Are curious, analytical, and experienced in analyzing trends and developing methods for continuous improvement.
+ Have a knack for capturing leadership voice and recognizing thought leadership opportunities.
+ Have strong copywriting and editing skills and are familiar with Associated Press Stylebook guidelines.
+ Can work calmly and maintain good judgment in situations requiring reputation management and/or crisis communication.
+ Can maintain confidentiality and act as a trusted communications partner and advisor to business leadership.
**In this job, you'll:**
+ Support all aspects of Communications for a business unit with sites across the United States.
+ Establish, deliver and measure dynamic communications and thought leadership strategies for both internal and external audiences that align with sector and corporate priorities.
+ Partner with employee communications, public relations, human resources, employee experience and other functions to develop and implement communications.
+ Assist leadership with executive messaging and prep for speaking engagements.
+ Identify and execute opportunities for media, customer and public engagement, including press releases, media materials, speeches, articles, presentations, social media and marketing materials.
+ Create innovative ways to communicate that inform and engage a diverse employee base.
+ Collect and analyze data, and make recommendations to improve our approach and the results of future communications, events and activities.
+ Correct inaccuracies and act as company's spokesperson (as needed) while developing relationship with media and advocacy organizations.
+ Identify, secure and prepare key opinion leaders and internal spokespeople to provide perspective and insight to the media.
+ Organize and moderate all-employee meetings.
+ Build and maintain strong, positive relationships with members of the leadership team and employee base.
**About Communications:**
Our team is responsible for all internal and external communications activities supporting the Mission Enabling Products business unit within the Payload and Ground Systems Division (PGSD) of Northrop Grumman's Space Sector, including:
+ Employee & Site Communications
+ Public Relations & Media Engagement
+ Marketing, Advertising & Branding
+ Public Affairs
+ Executive Communications
+ Thought Leadership
+ Editorial & Content Production
+ Animation, Videography, Photography & Graphic Design
+ Trade Shows & Presentation Facilities
If this job description reads like it was written specifically for you, consider joining our team!
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Basic Qualifications:**
**This requisition may be filled at either a level 3 or a level 4.**
**Basic Qualifications for a Level 3:**
+ Bachelor's degree with 6+ years of experience successfully developing and deploying communications practices - OR - 4+ years of experience as outlined above with Master-level degree. An additional four years' experience accepted in lieu of a degree.
**Basic Qualifications for a Level 4:**
+ Bachelor's degree with 10+ years of experience successfully developing and deploying communications practices - OR - 8+ years of experience as outlined above with Master-level degree. An additional four years' experience accepted in lieu of a degree.
**Additional Basic Qualifications for both levels:**
+ Proficient in Microsoft Office suite (Word, SharePoint, Excel, PowerPoint, Outlook, Teams).
+ Possess a high level of integrity when handling sensitive and confidential information.
+ Strong project management skills.
+ Demonstrated media relations expertise.
+ Ability to work independently while managing multiple deliverables.
+ Experience developing and implementing change management strategies.
+ A portfolio of material that demonstrates a mastery of writing and communication planning.
+ Ability to travel up to 25%.
+ Ability to obtain a security clearance. Must be a U.S. Citizen.
**Preferred Qualifications:**
+ Aerospace and defense experience.
+ Familiarity with Associated Press Stylebook guidelines.
+ Familiarity with Adobe Creative Suite (Illustrator, Photoshop, Premiere Pro, InDesign).
+ Knowledge of Polite Mail and Metrics.
+ Active security clearance. U.S. Citizenship is a requirement.
**Salary Range:** $84,600 - $133,100
**Salary Range 2:** $104,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10154626CaliforniaCAPublic Relations Representative 3/4None5638E282ACD34E9D946DF350D958C2AAhttp://northropgrumman-veterans.jobs/5638E282ACD34E9D946DF350D958C2AA23BaltimoreNorthrop GrummanUnited StatesUSA2024-03-28 06:15:16At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a Multilayer Material and Process Specialist to join its Advanced Interconnect and Multilayer Solutions (AIMS) team. This position is located in Linthicum, Maryland.
**Essential Functions:**
The Multilayer Material and Process Specialist will assist engineers in the facility to preform processes for the development and production of printed circuit boards using a variety of process equipment and materials.
+ Set up and run complex process equipment; including but not limited to: automated drilling, chemical plating, cross sectional equipment, imaging and Train, guide other Multilayer Material and Process Specialists and EMS personnel.
+ Maintain process documentation required in the microelectronic and assemblies built in the AIMS facility.
+ Assist engineering in the development and implementation of process improvements.
+ Operate cross sectional equipment, evaluate and interface with engineering for recommendation.
+ Make recommend process improvements to engineering.
+ Set-up and operate a variety of advanced automatic/manual manufacturing and special research equipment with limited instruction and guidance from engineering or higher classified Multilayer Material and Process Specialists.
+ Successfully complete all required training courses.
+ Capture required process data, analyze and make recommendations to engineering.
**This is for an SEA Union represented position.**
**This is for a 4th shift position 7am-7pm (Fri-Sun)**
**Basic Qualifications:**
+ High school graduate or equivalent.
+ Must possess a minimum of six (6) college credits in a laboratory science such as chemistry or physics, computer science, or a related technical field. Military equivalent will be accepted.
+ 2 years' experience in a manufacturing and or laboratory environment or college or military coursework in a related technical field
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10153291MarylandMDU109 Multilayer & Material Process Specialist - 4th ShiftNone8BA43EBD9D61477C8D2B223B1A3B4857http://northropgrumman-veterans.jobs/8BA43EBD9D61477C8D2B223B1A3B485723BaltimoreNorthrop GrummanUnited StatesUSA2024-03-28 06:15:15At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems (MS) sector is seeking a Director of Global Supply Chain 2 (GSC) for the Airborne Multifunction Sensors (AMS) Division/BWI Campus. The location of this position will be Baltimore, MD.
The Director of GSC will report directly to the Operations Vice President for the AMS division and will serve as a key member of the operations division leadership team. The selected candidate will be a high performing, experienced supply chain leader who is goal-oriented and well equipped to partner with a wide range of internal stakeholders to support corporate, sector, and division objectives, including program performance and business growth. To do this, they will leverage a solid understanding of the Northrop Grumman supply chain and be knowledgeable in the engineering, contracts, manufacturing, information technology, and mission excellence areas and how they impact delivery of supply chain materials and services.
The selected candidate will have a proven ability to engage with suppliers to resolve schedule and quality issues, contracts, negotiate pricing, etc., as well as knowledge in compliance areas. Responsibilities of the position will include but are not limited to :
+ Responsible and accountable for the strategy, planning, and execution of all material and services content for all AMS Division and BWI-based programs.
+ Acts as the main focal point for the division programs for the entire supply chain and coordinates cross-functionally to ensure that all supply chain commitments are met, and that appropriate mitigation plans are developed and executed to address any programmatic risks related to suppliers.
+ Develops the material strategy and leads the activities for GSC in all phases of the Business Acquisition Process (BAP). Provide differentiated and affordable supply chain solutions for existing programs and new business pursuits
+ Ensures that prior to program execution, all elements of the proposed program(s) plans have complete and accurate schedules, budgets, make/buy plans, and procurement and subcontracts strategies.
+ Leads supply chain Program execution and is accountable for resource planning, material cost, forecasting, schedule performance, risk/opportunity management, supplier performance, and supply chain issue resolution.
+ Implement strategies and actions to ensure timely delivery of conforming materials and subcontract services in support of program needs
+ Support achievement of division financial commitments
+ Drive continuous improvements in supply chain related processes via collaboration with other functions, programs, and the extended supply chain
+ Ensure compliance with the wide range of regulatory and other requirements and achieve supplier diversity requirements
+ Share and implement supply chain best practices across the enterprise
+ Leverage and engage in Enterprise GSC initiatives to optimize supplier management and achieve employee development (S/4 Hana, Digital Transformation, Risk Management, Enterprise Supplier Alignment, 1ERP, Global Supplier Diversity, Procurement Manual enhancements, and Organizational Development)
+ Collaborate with manufacturing, mission assurance, and engineering to ensure successful delivery of material and subcontracted items.
**Basic Qualifications:**
+ Bachelor's degree with a minimum of 9+ years of applicable experience in supply chain, business management, manufacturing, engineering or other related experience. Or,
+ Master's degree with a minimum of 7+years of applicable experience in supply chain, business management, engineering or other related experience
+ Plus:
+ Minimum of 5 years of supervisory experience
+ An active DoD Secret security clearance
+ Must be able to travel as required (up to 25% of the time)
+ Must be able to work on-site in Baltimore, MD
+ Strong leadership, communication, strategic thinking, change management, and analytical skills
+ Experience with specific regulations and compliance practices governing defense contractor supply chain activities (e.g., FAR, DFARS, ITAR, other)
**Preferred Qualifications:**
+ Former military or government experience
+ Experience managing managers
+ Significant experience in managing a broad range of suppliers and subcontractors including providers of complex components/assemblies, services, and commodities
+ Experience with DCMA and programs in support of Department of Defense Customers, inclusive of the US Air Force, Navy, and Army
+ Advanced education and evidence of professional development (e.g., CPPM, C.P.M, CSCP, JD, etc.)
+ Training and/or accreditation in some combination of Agile, Continuous Improvement, Lean, or Six Sigma Black belt
+ Experience in partnering with suppliers to implement Digital Transformation strategies throughout the supply base.
+ Experience in working with capture teams in developing and submitting competitive and compliant major proposals, including teaming strategies and complex Bill of Material strategies
+ Experience with a broad range of supply chain issues including risk management, terms and conditions, negotiations, contract types (fixed price and cost type), and post award management
+ Knowledge and application of supply chain best practices and continuous improvement activities
+ Strong communication skills with the ability to lead, influence, and collaborate with a diverse set of stakeholders
+ Experience leading Supplier Quality improvement initiatives
+ Proficiency using MS Office applications (Word, Excel, PowerPoint).
+ Sound knowledge of supply chain tools including SAP, eTools, and Business Intelligence systems
+ Sound knowledge of metrics, performance tracking, and data integrity
**Salary Range:** $218,300 - $327,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10154517MarylandMDDirector Supply Chain Programs 2None0B6AEF8C585C4322994FEF0AEE1F9C77http://northropgrumman-veterans.jobs/0B6AEF8C585C4322994FEF0AEE1F9C7723Warner RobinsNorthrop GrummanUnited StatesUSA2024-03-28 06:15:15At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Northrop Grumman Defense Systems Sector is seeking a Data Engineer for our Program Data team to join our growing efforts in support of a large program that supports repair efforts. This position will be located at our Defense Systems Sector in Warner Robins, GA. The qualified candidate will become part of Northrop Grumman's program team to support repair projects. We are seeking a highly motivated individual, with an eagerness to learn, and a passion for data analysis/mining, reporting and/or programming. The selected candidate must have a willingness to explore solutions independently and then develop them in a team environment.
**Roles and Responsibilities:**
+ Support the Program team by recommending/developing/ re-engineering visibility reports or tools to translate information in SAP into PowerBi graphs and charts
+ Analyze / Interpret data and convert to meaningful information for the business
+ Utilize various toolsets such as SAP, PowerBi, and SQL for data mining/data analysis
+ Explore/develop new technologies or approaches to data mining and interpretation
+ Assist in developing and maintaining SharePoint systems for program use
+ Create ad hoc reports based on unique business needs
**Basic Qualifications**
+ High School Diploma/GED and 6 years of relevant experience; Will also consider a Bachelor's degree or higher in a STEM area (Science, Technology, Engineering or Math) and 2 years of relevant experience (0 years with an MS)
+ Experience in database or web programming, information systems or data management
+ Must be able to obtain a U.S. Government security clearance (U.S. citizenship is a pre-requisite.)
+ Must be proficient in MS Office suite -Excel, Project, Visio, PowerPoint, and SharePoint
+ Must be Proficient in PowerBi
+ Working knowledge of how to get source material out of SAP using CVG
+ Comfort with requirements-analysis and stake-holder engagement.
+ Strong problem-solving skills and root-cause analysis to ensure that curated data is accurate, repeatable, and explainable.
+ Solid communication skills (written, verbal, and visual)
+ Excellent attention to detail.
+ Development /programming of tools to improve efficiency, eliminate repetitive tasks, and implement new analysis tools/techniques.
+ Prioritization skills to manage workload and make sound decisions for assigned team's data analysis activities.
**Preferred Qualifications:**
+ Bachelors Degree or Higher in STEM degree
+ Familiarity with data transportation formats, including, but not limited to JSON, XML
+ Experience with object-oriented languages, such as JAVA, VBA, Python, SQL, R, C+ and database management languages such as SQL or Access
+ Familiarity with modern web development stack (ASP.NET, MVC, JS Frameworks, CSS, HTML)
NGSkills
**Salary Range:** $75,300 - $112,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Warner Robins, GAR10154099GeorgiaGAData EngineerNone6622D21277884DA88D93DF22AEB1393Dhttp://northropgrumman-veterans.jobs/6622D21277884DA88D93DF22AEB1393D23Saint CharlesNorthrop GrummanUnited StatesUSA2024-03-27 06:15:51At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
An organization within Northrop Grumman Space Systems' Payload and Ground Systems (PGS) division, Cutting Edge Optronics is a leading provider of high-power laser diode arrays, high power pump modules and diode-pumped solid-state (DPSS) lasers. We are a vertically integrated manufacturer capable of supplying unmounted diode bars, packaged laser diodes, DPSS modules, laser diode drivers and complete DPSS laser systems. Our diode laser-based products have become industry standards and are used in a wide variety of commercial and military applications.
Learn more about Cutting Edge Optronics at our website: https://www.northropgrumman.com/who-we-are/cutting-edge-optronics/ .
Job Duties
+ Develop new manufacturing processes associated with the packaging/soldering of semiconductor laser diodes.
+ Responsible for laser diode array packaging and testing processes.
+ Assist with the automation of packaging, testing, and inspection operations.
+ Support existing manufacturing processes through hands-on interaction with operators, monitoring of yield and quality metrics, and other continuous improvement activities.
+ Interface with material suppliers and supervise the fabrication of prototypes.
Basic Qualifications (Level 4/Sr. Principal):
+ 9 years of related engineering experience with a bachelor's degree in engineering, Physics, or Material Science is required. 7 years of related engineering experience is required with master's degree, 4 years of related experience is required with a PhD, or an additional 4 years of related experience (13 minimum) may be included in lieu of degree.
+ General understanding of manufacturing processes.
+ Experience with Design of Experiments methodologies.
+ Experience with semiconductor packaging, specifically eutectic solder attach, reflow ovens, and automated bonders is preferred.
+ Hands-on laboratory experience.
Basic Qualifications (Level 3/Principal):
+ 5 years of related engineering experience with a bachelor's degree in engineering, Physics, or Material Science is required. 3 years of related engineering experience is required with master's degree, 0 years of related experience is required with a PhD, or an additional 4 years of related experience (9 minimum) may be included in lieu of degree.
+ General understanding of manufacturing processes.
+ Experience with Design of Experiments methodologies.
+ Experience with semiconductor packaging, specifically eutectic solder attach, reflow ovens, and automated bonders is preferred.
+ Hands-on laboratory experience.
**Salary Range:** $109,900 - $164,900
**Salary Range 2:** $88,600 - $133,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Saint Charles, MOR10147127MissouriMOSr. Principal Process Engineer/Principal Process Engineer (Laser Diode Packaging)None4BE9A8C7A305456EAEA01C23AD26F070http://northropgrumman-veterans.jobs/4BE9A8C7A305456EAEA01C23AD26F07023Saint CharlesNorthrop GrummanUnited StatesUSA2024-03-27 06:15:51At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
An organization within Northrop Grumman Space Systems' Payload and Ground Systems (PGS) division, Cutting Edge Optronics is a leading provider of high-power laser diode arrays, high power pump modules and diode-pumped solid-state (DPSS) lasers. We are a vertically integrated manufacturer capable of supplying unmounted diode bars, packaged laser diodes, DPSS modules, laser diode drivers and complete DPSS laser systems. Our diode laser-based products have become industry standards and are used in a wide variety of commercial and military applications.
Learn more about Cutting Edge Optronics at our website: https://www.northropgrumman.com/who-we-are/cutting-edge-optronics/ .
Job Duties
+ Develop new manufacturing processes associated with the packaging/soldering of semiconductor laser diodes.
+ Responsible for laser diode array packaging and testing processes.
+ Assist with the automation of packaging, testing, and inspection operations.
+ Support existing manufacturing processes through hands-on interaction with operators, monitoring of yield and quality metrics, and other continuous improvement activities.
+ Interface with material suppliers and supervise the fabrication of prototypes.
Basic Qualifications:
+ Bachelor's degree in engineering, Physics, or Material Science is required.
+ General understanding of manufacturing processes.
+ Familiarity with Design of Experiments methodologies.
+ Experience with semiconductor packaging, specifically eutectic solder attach, reflow ovens, and automated bonders is preferred.
+ Hands-on laboratory experience.
**Salary Range:** $58,000 - $87,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Saint Charles, MOR10146794MissouriMOAssociate Process Engineer (Laser Diode Packaging)None9E9B9249AF6E4BB2AFD0FC43C2287BF3http://northropgrumman-veterans.jobs/9E9B9249AF6E4BB2AFD0FC43C2287BF323LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:51At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Principal/Senior Principal Mixed-Signal Electrical Design Engineer
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
The Northrop Grumman Mission Systems (NGMS) Advanced Processing Solutions Business pushes the boundaries of innovation, redefines the leading edge of exotic new technologies, and drives advances in the sciences. One of our most challenging new fields is Transformational Computing, which combines the unique properties of superconductivity and quantum mechanics to develop radical new energy-efficient computing systems. Our team is chartered with providing the skills to transform computing beyond Moore's Law, advancing development of computer architectures, processing/memory subsystems, and large-scale high-performance computing systems. You'll work in a fast-paced team environment alongside a broad array of scientists and engineers to make these processing solutions a reality.
The Network Information Solutions (NIS) Advanced Processing sector is seeking a Mixed-Signal Electrical Design Engineer to join an interdisciplinary team of engineers and scientists on various project assignments. The successful candidate should have proven abilities in electronic hardware design, support, testing, product development, and requirement specification development efforts.
Roles and Responsibilities:
+ Collaborate with peers and other engineering disciplines in the planning, design, and prototyping of complex mixed-signal systems.
+ Design electronic circuits and custom electronic test systems used in the measurement of cryogenic-cooled superconducting devices.
+ Provide technical solutions to a wide range of complex and difficult problems.
+ Complete tasks according to schedule, budget, cost, design objectives and requirements.
+ Work under general direction to independently determine and develop viable solutions.
**Basic Qualifications for Principal** **Mixed-Signal Electrical Design Engineer:**
+ B.S. in Electrical Engineering or a related field with a minimum of 5 years of related work experience or a Master's degree with a minimum of 3 years of related work experience. 6 year's related experience may be substituted in lieu of a Bachelor's degree.
+ Experience in analog, digital, and mixed-signal electronic system design
+ Electronic circuit design simulation experience using SPICE, HFSS, ADS, etc.
+ Hands on use of laboratory test equipment: oscilloscopes, function generators, DMM, BERT scopes, network analyzers and signal analyzers.
+ Strong verbal/written communication and presentation skills
+ Ability to obtain and maintain a TS/SCI Security Clearance. US Citizenship is a requirement for this.
**Basic Qualifications for Senior Principal** **Mixed-Signal Electrical Design Engineer:**
+ B.S. in Electrical Engineering or a related field with 9 years of related work experience or a Master's degree with 7 years of related work experience. 6 year's related experience may be substituted in lieu of a Bachelor's degree.
+ Electronic circuit design simulation experience using SPICE, HFSS, ADS, etc.
+ Hands on use of laboratory test equipment: oscilloscopes, function generators, DMM, BERT scopes, network analyzers and signal analyzers.
+ Strong verbal/written communication and presentation skills
+ Ability to obtain and maintain a TS/SCI Security Clearance. US Citizenship is a requirement for this.
**Preferred Qualifications:**
+ Design experience in RF, analog, low noise, filters, shielding, and/or EMI.
+ High Density Interconnect PWB design experience
+ Schematic capture and layout using Mentor Graphics tools.
+ Complex, high-speed, high-density system cabling design experience
+ Test and troubleshooting of electronics and electrical systems related to analog/mixed-signal, RF, and communication.
+ Embedded design experience incorporating Xilinx FPGAs
+ Experience developing embedded firmware and software using C, C++ and MatLab.
+ Project leadership
+ Active TS/SCI with Polygraph
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10141602MarylandMDPrincipal/ Sr. Principal Mixed-Signal Electrical EngineerNoneC87D0B23AA194540AF805432521F2354http://northropgrumman-veterans.jobs/C87D0B23AA194540AF805432521F235423San DiegoNorthrop GrummanUnited StatesUSA2024-03-27 06:15:50At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems is seeking a **Principal Program Cost Schedule Control Analyst to join our Strike division** of qualified, diverse individuals. This position will be located in **San Diego, CA** and requires the ability to work on-site daily.
**Essential Functions:**
+ Sets up cost control system, monitors and controls costs and schedules on contracts requiring validated cost schedule control system
+ Performs analyses and prepares reports in order to ensure that contracts are within negotiated and agreed-upon parameters and government cost control guidelines
+ Prepares budgets and schedules for contract work and performs and/or assists in financial analyses such as funding profiles, sales outlook, and variance analysis
+ Prepares program plans to ensure program requirements and statement of work are captured and scheduled
+ Performs schedule risk assessments to identify and mitigate program cost and scheduling risks
+ Ensures adequate funding availability by maintaining accurate records of expenditures, directing preparation of expenditure projections, and submitting timely requests for additional funding to the government
+ Incorporates contractual changes into control systems by staying aware of outstanding work against each contract in order to maintain realistic contract cost and schedule baselines
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly inclusive environment! We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees! Does this sound like what you're looking for?
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Basic Qualifications for Program Cost Schedule Control Analyst:**
+ Bachelor's degree with 5 years experience, or a Master's degree with 3 years experience in finance, accounting, project management, and/or related business management experience
+ Strong skills and eExperience with MS Office including Word, Excel and PowerPoint
+ Experience in **Earned Value Management (EVM)**
+ Experience with **Earned Value Tools and Processes**
+ **Active DoD Secret Clearance** , awarded/reviewed within the last 5 years, and the ability to obtain and maintain Special Program Access (Special Program access will be required to start)
+ **Ability to work on-site daily in Palmdale, CA.**
**Preferred Qualifications:**
+ Experience with **SAP and Cobra**
+ Excel VBA Macro Skills
+ Special Program Access
**Salary Range:** $88,700 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10145292CaliforniaCAPrincipal Program Cost and Schedule Control AnalystNone25043C4717644A379273F100A62981E2http://northropgrumman-veterans.jobs/25043C4717644A379273F100A62981E223DullesNorthrop GrummanUnited StatesUSA2024-03-27 06:15:50At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**NG- Military Internship Program Description:**
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military Internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members.
**Responsibilities for this internship position are:**
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for SkillBridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
Goals - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
Objectives - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
Outcome - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
• Has served at least 180 days on active duty
• Is within 12 months of separation or retirement
• Will receive an honorable discharge
• Has taken any service TAPS/TGPS
• Has attended or participated in an ethics brief within the last 12 months
• Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
**Job Description:**
Climb to new heights on your journey when you start Defining Possible with Northrop Grumman. Enjoy a purposeful career in aeronautics that is crucial to the way we connect and protect our world across land, sea, and air. Bring your experience and take advantage of this opportunity to discover how you can start to push past possible and achieve your goals today. Enjoy a diverse, collaborative environment with professionals across the nation ready to help launch your career.
Northrop Grumman Enterprise Services is seeking a well-qualified Windows Administrator to join its dynamic team of technical professionals in the Dulles, VA area.
**Roles and responsibilities include, but are not limited to:**
+ Perform as a Windows System Administrator for a classified government contract.
+ Communicate effectively at all levels of the organization, with internal or external customers, in written and oral format.
+ Maintain smooth operation of multi-user computer systems, including coordination with network, software, and system engineers, PC desktop technicians, project managers, end users, and customer and IT management.
+ Support multiple networks. Ensure necessary administration tasks are completed; direct others as necessary.
+ Analyze internal or external customer requirements and determine equipment and software requirements for solutions to problems by means of automated systems.
+ Recommend and implement system enhancements that will improve the performance and reliability of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Provide backup and recovery services; manage file systems and disk space; manage virus protection on a routine basis.
+ Create and maintain user and computer accounts and modify file permissions and security access lists.
+ Test new releases of products to ensure compatibility and minimize user impact.
+ Develop and document technical processes and procedures as needed.
+ Make recommendations to purchase hardware, software, system components.
+ Interact, meet, discuss, and troubleshoot issues with vendors; evaluate vendor products, services, and suggestions.
+ Adhere to strict Information Systems security guidelines in all cases.
+ Maintain security audit and logging information on all classified networked and standalone computers as directed by the Information Systems Security Manager (ISSM).
+ Prepare security documentation for input to Computer Security.
+ Report project status as required for all recurring and non-recurring efforts.
+ Works under minimal direction and independently determines and develops approach to solutions.
+ Lift equipment weighing up to 40 pounds.
+ Work after hours and weekends as needed.
**Basic Qualifications:**
+ Associate's degree and 4 years of experience OR Bachelor's degree and 2 years of experience OR Master's degree and 0 years of experience; 6 years of experience may be considered in lieu of completed degree
+ IAM Level I certification (ex: Security+ CE) or greater or ability to obtan within 6 months of start
+ Active Top Secret with SCI eligibility
+ Ability to be cleared to special access programs
+ Experience managing Windows Server OS
+ Experience with designing Windows domain architectures include but not limited to Active Directory, GPOs, Policies, and permissions
**Preferred Qualifications:**
+ Bachelors or Masters degree in IS related field
+ Experience operating under and managing systems within NISPOM Chapter 8, DCID 6/3-ICD 503, RMF, STIG, JAFAN, or JSIG information system environments
+ Experience installing, configuring, and maintaining computer hardware in a networked environment
+ Server management experience
+ Currently valid Security+ CE, CASP, CISSP or similar security certification
+ Windows domain architecture experience
+ Understanding basic networking, including subnets, routing, and VLANs; Cisco experience and/or certification
+ Windows AD, LDAP, VMWare, and knowledge of SAN storage systems
+ Linux Operating System experience and/or certification for current versions
+ VMWare experience with current version
+ Knowledge of environmental monitoring tools (HBSS/Solar Winds/Splunk)
+ Mid to Advanced level Scripting
+ Creation and deployment of system images in an enterprise environment
+ Experience with Certification & Accreditation process
+ DoD 8570 Certification in a primary OS (Windows, Linux, Cisco, etc.)
**Salary Range:** $77,100 - $115,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Dulles, VAR10082064VirginiaVANorthrop Grumman (DoD SkillBridge) - Windows Systems AdministratorNone2731018DC5024743959B853157D5C481http://northropgrumman-veterans.jobs/2731018DC5024743959B853157D5C48123LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:50At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Information Systems Security Professionals, We Want You!**
Cyber assets everywhere are under siege from a wide spectrum of threats. Almost daily, these threats grow in sophistication, breadth and speed.
Support our entire enterprise and build the networks, staff the teams, and develop the processes that will keep us defining what's possible. We have opportunities across our enterprise with 90,000 team members throughout the world. The only limit to your growth is your ambition and drive. We want to empower you to bring your best, with resources, support, and talented team members that will launch your career.
At Northrop Grumman, we take a holistic approach to cybersecurity, looking at the whole cyber landscape of people, processes and technology and the whole security realm of offense, defense, and exploitation. Thought leadership demands nothing less.
If you are an expert with classified computers within the Department of Defense (DoD) and Intelligence Community computing environments, Northrop Grumman Corporation has fantastic opportunities for your career growth.
We are seeking experienced **Information Systems Security Professionals** across the country to support information systems lifecycle activities.
Responsibilities will include, but are not limited to:
+ Perform assessments of systems and networks within the networking environment or enclave and identify where those systems and networks deviate from acceptable configurations, enclave policy, or local policy. This is achieved through passive evaluations such as compliance audits and active evaluations such as vulnerability assessments.
+ Establishes strict program control processes to ensure mitigation of risks and supports obtaining certification and accreditation of systems. Includes support of process, analysis, coordination, security certification test, security documentation, as well as investigations, software research, hardware introduction and release, emerging technology research inspections and periodic audits.
+ Assist in the implementation of the required government policy, make recommendations on process tailoring, participate in and document process activities.
+ Perform analyses to validate established security requirements and to recommend additional security requirements and safeguards.
+ Support the formal Security Test and Evaluation (ST&E) required by each government accrediting authority through pre-test preparations, participation in the tests, analysis of the results and preparation of required reports.
+ Document the results of Certification and Accreditation activities and technical or coordination activity and prepare the system Security Plans and update the Plan of Actions and Milestones POA&M.
+ Periodically conduct a complete review of each system's audits and monitor corrective actions until all actions are closed.
If you are ready to solve complex problems in a dynamic environment, apply today!
Note- Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
**Basic Qualifications:**
+ Must have, at minimum, a Master's degree with 3 years of relevant experience; OR a Bachelor's degree with 5 years of relevant experience; OR an Associate's degree with 7 years of relevant experience; OR a High School Diploma/GED with 9 years of relevant experience is required
+ Must have a **DoD 8570 IAM level II** or above security certification (examples: CAP, CASP CE, CISM, GSLC, CISSP Associate, or CISSP)
+ Must have a **current DoD Top Secret / SCI security clearance with Polygraph** to include an original adjudication, or a periodic reinvestigation date, completed within the last 6 years in order to be considered
**Preferred Qualifications:**
+ ICD 503 knowledge/experience preferred
+ RMF knowledge/experience preferred
+ NIST 800-53 knowledge/experience preferred
**Salary Range:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10145690MarylandMDPrincipal Classified Cybersecurity AnalystNone2BE5B5F5ADAD408B9E98861B61B5E681http://northropgrumman-veterans.jobs/2BE5B5F5ADAD408B9E98861B61B5E68123PalmdaleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:50At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems is seeking a **Principal Program Cost Schedule Control Analyst to join our Strike division** of qualified, diverse individuals. This position will be located in **Palmdale, CA or San Diego, CA** and requires the ability to work on-site daily.
**Essential Functions:**
+ Sets up cost control system, monitors and controls costs and schedules on contracts requiring validated cost schedule control system
+ Performs analyses and prepares reports in order to ensure that contracts are within negotiated and agreed-upon parameters and government cost control guidelines
+ Prepares budgets and schedules for contract work and performs and/or assists in financial analyses such as funding profiles, sales outlook, and variance analysis
+ Prepares program plans to ensure program requirements and statement of work are captured and scheduled
+ Performs schedule risk assessments to identify and mitigate program cost and scheduling risks
+ Ensures adequate funding availability by maintaining accurate records of expenditures, directing preparation of expenditure projections, and submitting timely requests for additional funding to the government
+ Incorporates contractual changes into control systems by staying aware of outstanding work against each contract in order to maintain realistic contract cost and schedule baselines
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly inclusive environment! We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees! Does this sound like what you're looking for?
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Basic Qualifications for Program Cost Schedule Control Analyst:**
+ Bachelor's degree with 5 years experience, or a Master's degree with 3 years experience in finance, accounting, project management, and/or related business management experience
+ Experience with MS Office including Word, Excel and PowerPoint
+ Experience in **Earned Value Management (EVM)**
+ Experience using Earned Value tools and processes
+ **Active DoD Secret Clearance** , awarded/reviewed within the last 5 years, and the ability to obtain and maintain Special Program Access (Special Program access will be required to start)
+ **Ability to work on-site daily in Palmdale, CA or San Diego, CA**
**Preferred Qualifications:**
+ Bachelor's degree in business-related discipline
+ Experience with **SAP and Cobra**
+ Excel VBA Macro Skills
+ Special Program Access
**Salary Range:** $88,700 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10145274CaliforniaCAPrincipal Program Cost and Schedule Control AnalystNone39F978E6703A41EC90ADD1B712BEBBE4http://northropgrumman-veterans.jobs/39F978E6703A41EC90ADD1B712BEBBE423New MaldenNorthrop GrummanUnited KingdomGBR2024-03-27 06:15:50**Your Opportunity to Define Possible** **.** **Our Opportunity t** **o Deliver the Nation's Security** **. Together.**
**Salary:** £53,000 - 76,000
**Role Clearance Type:** **You must be able to gain and maintain the relevant UK Government clearance in line with the job role (SC)**
**Location:** Burlington House, New Malden, Kingston-Upon-Thames, London, United Kingdom.
**About Your Opportunity:**
**Work on cutting-edge technology and capabilities whilst applying Control Systems Engineering techniques in a very specific fashion on strategically important National Defence Programmes.**
To provide PLC engineering excellence as a member of the Control Systems Software team, delivering software design and development expertise for maritime control and instrumentation systems.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible and hybrid working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme -** exceptional performance is recognized through our annual incentive programme which is awarded to top performers who excel
+ **Career Development -** opportunity for ongoing professional development and career growth opportunities
**Your Responsibilities:**
+ Produce technical documentation in accordance with company/customer procedures
+ Specify and design solutions for PLC control systems
+ Develop PLC software from multiple design formats such as Block Diagrams, Flow Charts or Pseudo Code
+ Validate software designs through analysis and test
+ Comply with company standards of conduct and all relevant statutory requirements
**Your Experience:**
+ Design and integration of large scale PLC Control Systems
+ Proven experience with Siemens or Rockwell PLC systems
+ Experience of Fault Tolerant, High Availability and Safety Integrated PLC Solutions
+ Experience of Industrial Communication protocols and networking standards
+ Degree, HND, HNC or equivalent in Electrical/Electronic engineering or a related discipline e.g. C&I engineering, Systems engineering
**Your Future Team:**
"People are our most important asset. It's my role to ensure that we have empowered and independent engineers who can apply their skills and engineering thinking across our various programmes, delivering not only technical but professional solutions whilst enabling continuous learning both within and outside their functional skills base."
**Head of Control Systems @ Northrop Grumman UK**
As a multi-disciplined collaborative team we deliver total solutions to our customers. We think outside of the box, to achieve the desired functional capability that in other industries is often overlooked or not necessary.
Our matrix driven organisation provides new experiences and skills, with continuous development both at a functional level and at a programme level. We are proud of our quality of work.
Diversity is at the heart of our success. Our team share experience, knowledge and new thinking gained from a wide range of backgrounds perspective, culture, gender, race, age and many other elements across several industries. We welcome candidates from all backgrounds and particularly from communities currently under-represented within our industry . We treat everyone with respect and foster safe and inclusive environments.
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And w ithin a respectful and inspirational environment, we value what you say and do.
**How to Apply:**
**Interested in our opportunity?**
**Yes** - then simply submit your application online. Your application will be reviewed by one of our expert recruiters who'll then respond advising you of the outcome and next steps for successful candidates.
**Possibly, I'd like to find out more** - email talentenquiries@uk.ngc.com to connect with one of our Sourcing Specialists who will be happy to support you with any enquires.
Background checks and potentially security clearance form part of the recruitment process, our team will inform you of the procedures when required.
**Northrop Grumman UK:**
Work with a global brand that makes a real contribution to our nation's security and future. At Northrop Grumman UK, the brightest minds come together to push the boundaries and Define Possible. As leaders in the digital transformation of Aerospace, Defence and Intelligence we are providing ground-breaking outcomes for our customers.
**UK Defence Business:**
Our UK Defence business is a Sovereign software and systems centre of excellence. As well as developing and supporting UK wide and internationally deployed multi-domain command and control systems, our work is critical to the modern backbone of the Royal Navy. We pioneer - with fierce curiosity, dedication, and innovation, we seek to solve the world's most challenging problems.
**Find out more** : https://www.northropgrumman.com/careers/job-search-united-kingdom/
\#LI-TP1
**\#LI-Hybrid**
\#IND-REDHP
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.New Malden, GBRR10116685Control Systems Engineer - PLCNone3A32DB46A0A24DA5931ECB912B5F0E69http://northropgrumman-veterans.jobs/3A32DB46A0A24DA5931ECB912B5F0E6923Annapolis JunctionNorthrop GrummanUnited StatesUSA2024-03-27 06:15:50At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
We're looking for you to join our team as a **Principal Program Cost Control Analyst or a Senior Principal Program Cost Control Analyst** based out of **Annapolis Junction, MD.** This position offers the 9/80 schedule.
**What You'll Get to Do**
The **Principal or Senior Principal Program Cost Control Analyst (PCA)** will support the rapidly growing, research and development Networked Information Solutions Division, Transformational Computing Operating Unit. The **PCA** will interface with the program managers, functional management, cost account managers (CAMs) and business managers to provide financial support and analysis to meet program requirements.
The **PCA** will prepare budgets and schedules for contract work and assist in financial analyses such as funding profiles, sales outlook, cash forecasting, and variance analysis.
The **PCA** will monitor costs and schedules on contracts requiring validated cost schedule control system. This will include performing perform Earned Value Metric (EVM) tasks such as Work Breakdown Structure (WBS) development, budget baseline, cost risk analysis/ assessment and visibility reports, development, and analysis of estimates to complete.
The **PCA** will perform analysis and prepare reports in order to ensure that contracts are within negotiated and agreed-upon parameters and government cost control guidelines, including maintaining accurate records of expenditures, directing preparation of expenditure projections, and submitting timely requests for additional funding to the government.
The **PCA** will support audits and reviews as requested by programs, customers, and company management, support Annual Operating Plan, Resource Plan, and Long Range Strategic Plan activity, and prepare financial inputs to internal reports and presentations (IPRS, Dashboards, BARs, Etc.)
**This requisition may be filled as a Principal Program Cost Control Analyst or a Senior Principal Program Cost Control Analyst.**
**Basic Qualifications for a Principal Program Cost Control Analyst**
+ Bachelor's degree with 6 years of related professional experience - OR - a Master's degree with 4 years of related professional experience
+ Will consider 10 years of applied experience in lieu of degree requirement.
+ Experience with financial systems including cost performance reporting and analysis
+ Strong PC skills - specifically Excel proficiency (including pivot tables, Vlookup, and conditional formatting)
+ Ability to travel domestically 10% of the time.
+ **The ability to obtain and maintain a DoD Top Secret/SCI Clearance with Polygraph**
**Basic Qualifications for a Senior Principal Program Cost Control Analyst**
+ Bachelor's degree with 10 years of related professional experience - OR - a Master's degree with 8 years of related professional experience
+ Will consider 14 years of applied experience in lieu of degree requirement.
+ Experience with financial systems including cost performance reporting and analysis
+ Strong PC skills - specifically Excel proficiency (including pivot tables, Vlookup, and conditional formatting)
+ Ability to travel domestically 10% of the time.
+ **The ability to obtain and maintain a DoD Top Secret/SCI Clearance with Polygraph**
**Preferred Qualifications:**
+ Degree in Finance, Accounting, or Business Administration or related field
+ Experience with COBRA, COGNOS, SAP
+ Experience with Earned Value and performance measurement baseline concepts and ability to apply them to multiple contracts, CLINs and control accounts.
+ A current/active DoD Top Secret/SCI Clearance with Polygraph
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $88,700 - $133,100
**Salary Range 2:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Annapolis Junction, MDR10137103MarylandMDPrincipal or Senior Principal Program Cost and Schedule Control AnalystNone4B88A996D3F1484FBDF272827E7F2780http://northropgrumman-veterans.jobs/4B88A996D3F1484FBDF272827E7F278023San DiegoNorthrop GrummanUnited StatesUSA2024-03-27 06:15:50At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Aeronautics Sector's Strike Division has an opening for a Manager Pricing & Cost Estimating 2 to join our team of qualified, diverse individuals on the Strike Business Unit. This position can be located in San Diego, CA or Palmdale, CA. An existing DOD Secret Clearance, with the ability to obtain and maintain a Top Secret Clearance is required to start.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Explore your future and launch your career today.
In this role, the Manager Pricing & Cost Estimating 2 will manage and develop a team of analysts responsible for the development of cost products supporting the Strike Business Unit. This includes providing appropriate staff and oversight to the development of Cost Volumes, ROMs, BPEs, cost models, or other analysis required to support the objectives of the business unit. This management position will report directly to the Senior Manager of Pricing & Cost Estimating for Strike and provide matrix support to the Senior Business Managers for the Business Unit.
Responsibilities include but are not limited to:
+ Leads Pricing and Estimating activity for the entire Strike Business Unit
+ Manage team's staffing, resources, tools, and training
+ Provides performance goals, development actions, and leadership expectations to staff; provides regular feedback and corrective action as needed
+ Ensures compliance with Northrop Grumman Aeronautics System (NGAS) Estimating System requirements
+ Engage with business unit leadership to develop acquisition and estimating strategies needed to successfully negotiate follow-on business and capture new efforts
+ Supports, and provides advice to policy and regulation issues (FAR/DFARS/Internal Procedure/CAS)
+ Establish strategic relationships both internally with business unit leadership and externally, with government counterparts
Basic Qualifications:
+ Bachelor's degree with 6+ years of years of proposal, contract, cost, finance, supply chain, project management, or business-related experience, or a Master's degree with 4+ years of stated experience.
+ Demonstrated leadership or supervisory experience.
+ Experience conducting complex cost analysis and risk modeling
+ Strong experience with FAR/DFARS
+ Must have existing DOD Secret Clearance
+ Must be able to obtain and maintain DoD Top Secret Clearance
+ Must be able to work On Site (Teleworking arrangements not available for this position)
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly inclusive environment! We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees! Does this sound like what you're looking for?
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated products to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits, a 9/80 schedule, and a great 401K matching program.
**Salary Range:** $138,100 - $207,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10143920CaliforniaCAManager Pricing Cost Estimating 2None6493BDDCECCC4F078BC31CB38963603Ahttp://northropgrumman-veterans.jobs/6493BDDCECCC4F078BC31CB38963603A23LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:50At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
We are looking for you to join our team as **Principal or Senior Principal Program Planning Analyst/SCHEDULER** based out of Linthicum, MD. This position may be staffed as a hybrid role from the Linthicum, MD area. This position offers the 9/80 work schedule.
**What You'll Get to Do** **:**
The **Planner/Scheduler** will be hired directly into our Scheduling Center of Excellence and placed on programs within the Mission Systems (MS) Sector. The MS scheduling organization focuses on skill development and, most importantly, creates a pathway for professional development and progression. The Center of Excellence provides training within a standard, common tool suite that allows for speed, productivity and integration while facilitating compliance and focusing on program execution.
The **Planner/Scheduler** is responsible for coordinating with engineering and operations teams to decompose scope and develop the program's schedule baseline. This position will work within a team environment consisting of program management, technical, production, financial and other supporting staff. This is a career building role that provides exposure to contract management, production operations, risk and opportunity management and program management practices.
The ideal candidate will have strong teambuilding skills, exhibit strong in, and possess comprehensive verbal and written communication/presentation skills.
**Roles and Responsibilities include, but are not limited to, the following:**
+ Apply knowledge of product development planning to establish and control the program schedule baseline; coordinate with a multifunctional teams to update status for management decision making on complex development and production programs.
+ Coordinate with business management and project management staff to develop an integrated program measurement baseline to manage cost, schedule and technical performance of the program
+ Use project scheduling software to plan and gauge progress against the plan. Identifies performance variances to facilitate focus and intervention on critical areas with the technical (engineering and operations) leads. Works with the program team to develop courses of action and risk mitigation strategies to address performance issues.
+ Perform thorough project analysis through metrics reviews and predictive analysis to enhance cost, schedule and technical performance.
+ Perform Schedule risk analyses and works with the program manager to resolve risky schedules, critical path issues and network logic conflicts.
**This position may be filled as a Principal Program Planning Analyst/SCHEDULER or a Senior Principal Program Planning Analyst/SCHEDULER.**
**Basic Qualifications for a Principal Program Planning Analyst/Scheduler**
+ Bachelor's degree with 6 years professional related experience -OR - a Master's degree with 4 years of professional related experience.
+ Will consider 10 years of applied experience in lieu of degree requirement.
+ 4 years of project scheduling experience using Microsoft Project, Oracle P6, Deltek Open Plan or other related scheduling tool.
+ Experience using MS Office Suite, specifically Excel, PowerPoint, and Word.
+ Ability to travel domestically 10% of the time.
+ Current, active Secret level security clearance (Clearance active within the past two years may also be considered)
**Basic Qualifications for a Senior Principal Program Planning Analyst/Scheduler**
+ Bachelor's degree with10 years professional related experience -OR - a Master's degree with 8 years of professional related experience.
+ Will consider 14 years of applied experience in lieu of degree requirement.
+ 4 years of project scheduling experience using Microsoft Project, Oracle P6, Deltek Open Plan or other related scheduling tool.
+ Experience using MS Office Suite, specifically Excel, PowerPoint, and Word.
+ Ability to travel domestically 10% of the time.
+ Current, active Secret level security clearance (Clearance active within the past two years may also be considered)
**Preferred Qualifications for both levels:**
+ Bachelor's degree or higher in Business Management, Industrial Engineering, Finance, Operations Management, Mathematics, Computer Science or related field
+ Experience with Schedule Analytics and Schedule Risk Assessment tools.
+ Working knowledge of EVMS guidelines and processes.
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $93,000 - $139,600
**Salary Range 2:** $115,400 - $173,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10146297MarylandMDPrincipal or Senior Principal Program Planning Analyst/SCHEDLER - SECRET Clearance RequiredNone86A3BF10B8A94EF4A61BF0540A924589http://northropgrumman-veterans.jobs/86A3BF10B8A94EF4A61BF0540A92458923CincinnatiNorthrop GrummanUnited StatesUSA2024-03-27 06:15:50At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join **Northrop Grumman - Cincinnati (aka Xetron),** part of Mission Systems sector, focused on the development of new capabilities for our military and intelligence customers around the globe. Our **Northrop Grumman Cincinnati, Ohio** small business culture operates collaboratively within a larger corporation providing the benefits of both. This unique relationship offers employees the ability to know everyone at the site while working on technologies and products that are beyond state-of-the-art. You and your team will wrestle with the next big problems in an atmosphere of collaboration and cooperation, sharing your expertise while learning from the expert next to you. Remember when you were excited about getting to work because the challenge of the problem, the importance of the solution and the fun you had with your team? It's that kind of place! But don't take my word for it. See for yourself! See why we receive comments on our YouTube Videos like "This company is insanely advanced" and "Wow. This is mind blowing." See some of our recent Awards and Recognition and read about some of the reasons to live in Cincinnati .
We are seeking **cleared or clearable Senior Cyber Software Engineers** who are self-motivated and energetic with a background in Computer Engineering, Computer Science, or a related technical discipline.
**Responsibilities:**
This position involves developing solutions to national security threats with products that may involve kernel development, reverse engineering or vulnerability research of network and communication systems. The position requires solid software development skills including a familiarity with scripting languages. Knowledge of computer networking, modern software development environments, embedded programming, and software configuration management tools is a plus.
**Essential Functions:**
+ Kernel Mode (Windows, Linux) programming (C, C++, Assembly)
+ Reverse Engineering using IDAPro/Ghidra (x86, x64, MIPS, PPC, or ARM)
+ Vulnerability Research and Analysis
+ Customize network communication protocols
+ Network Programming (C/C++)
+ Network protocols TCP/IP, UDP, HTTP, SSL, etc...
+ High level software architecture and DevSecOps
**Basic Qualifications** :
+ B.S. degree in Computer Science, Computer Engineering or related field and 14 years of programming experience OR M.S. degree in Computer Science, Computer Engineering or related field and 12 years of programming experience OR Ph.D. and 9 years of relevant work experience. NOTE: 4 years of relevant work experience can be substituted for the degree.
+ US Citizenship is required
+ The ability to obtain and maintain a Top Secret clearance prior to starting (NG will sponsor)
+ Demonstrated software programming experience in C/C++ Demonstrated software architecture experience
+ Experience with a debugging or system analysis tool (i.e. Wireshark, WinDBG, JTAG debuggers)
+ Technical leadership experience of small (3+) teams of engineers
+ Experience in two or more of the Essential Functions (listed above)
**Preferred Qualifications:**
+ Active Top Secret security clearance
+ Advanced degree in Computer Science, Computer Engineering or related field
+ Experience with SDLC (software development life cycle)
+ Experience with virtual machine technology
+ Understanding of return oriented programming (ROP) concepts and execution
+ Ability to develop and implement shell code.
+ Ability to understand secure / insecure programming concepts (format string vulnerabilities, heap overflows, etc.)
+ Familiarity with common source control tools (git, gitlab, etc.) and automated build system workflows (Jenkins, Go, etc.)
+ Experience with the Agile methodology
This position offers the option of a 9/80 work schedule. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Salary Range:** $165,000 - $247,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Cincinnati, OHR10134122OhioOHStaff Cyber Software Engineer (Senior level)None95E651625E1343E9AD6F565DA9783A44http://northropgrumman-veterans.jobs/95E651625E1343E9AD6F565DA9783A4423Rocket CenterNorthrop GrummanUnited StatesUSA2024-03-27 06:15:50At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman needs a qualified Principal or Senior Principal Network Engineer to perform key assignments for Defense Sector Engineering and Manufacturing networks. The successful candidate will sit in Rocket Center, WV or Elkton, Maryland but will support across the different divisions and Business Units in the Defense Sector. This position supports large heterogeneous unclassified networks that support physical and virtual hosts with an emphasis on supporting NextGen manufacturing network automation and Industrial Internet of Things (IIoT) devices. This technical role requires an understanding of network administration, systems architecture, cyber security, and information assurance and requires the ability to support a diverse user community in a dynamic environment.
**This position will be located in Rocket Center, West Virginia or Elkton, MD There is no option for a full time telecommuting situation.**
Responsibilities include network design, implementation, and evaluation, hardware/software installation, upgrades, troubleshooting, repair, monitoring, tuning, automation scripting and general user support. Duties will also include maintaining system documentation, developing infrastructure support procedures, and providing security support.
**This position can be hired as a Principal or Sr. Principal Level Engineer, based on the candidate's education and experience.**
**Basic Qualifications for a Principal level:**
+ Minimum of 5 years of experience with a Bachelor's Degree in IT or related STEM field. An additional 7 years of experience may be considered in lieu of a degree.
**Basic Qualifications for a Senior Principal level:**
+ Minimum of 9 Years of experience with a Bachelor's Degree in Information Technology or related STEM field; an additional 9 years of experience may be considered in lieu of a degree.
**Remaining Qualifications for Both Levels:**
+ Proficiency with networking devices such as routers, switches, and firewalls.
+ Proficiency with Cisco networking hardware and operating systems
+ Proficiency with various network topographies (data center, LAN, and WAN).
+ Proficiency with TCP/IP connectivity utilizing UTP and fiber optic media.
+ Understanding of Networking technologies including:
+ VLANs, VLAN trunking, Link Aggregation (LACP, LAG), Spanning Tree
+ Layer 3 Routing, CIDR subnetting
+ Virtual chassis and clustering
+ Proficiency with network security principles, threats, and vulnerabilities.
+ Understanding of cyber security compliance in frameworks such as DFARS, RMF or DIACAP
+ Ability to solve problems quickly and completely; analytical problem-solving skills; adept at root-cause analysis and mitigation.
+ Ability to work independently while being conscious of how your work interacts with that of others
+ Ability to juggle multiple projects simultaneously and prioritize effectively. Must possess drive, enthusiasm and attention to detail.
+ Design, implement and maintain complex systems and networks. Coordinate maintenance activities with other team members and users to produce least impact solutions.
+ Provides technical guidance to internal and external personnel on highly complex network system installation processes.
+ Solid understanding of the OSI model
+ Superior written and oral communication skills
+ Experience providing remote support
+ Comfortable working in a large Manufacturing environment (varying noise, environmental climates and operating conditions)
+ Must be able to obtain and maintain a U.S. Government (DoD) security clearance following hire.
**Preferred Qualifications:**
+ Familiar with using Cisco network security policy and monitoring tools. To Include ISE, DNA Center, Cyber Vision, to manage Juniper network infrastructure.
+ Familiar with Cisco Firepower Layer 7 Next generation firewalls and applying L7 signature-based firewall policies
+ Experience performing troubleshooting with traffic capture tools; such as Wireshark and tcpdump
+ Exposure to next generation networking technologies including:
+ Software Defined Networking & Micro-segmentation
+ Understanding of VXLAN and logical networking concepts
+ Experience with Atlassian Tools (Confluence, Jira, Bitbucket, etc.)
+ Ability to work flex and overtime hours with some weekend hours expected.
+ Experience with network automation using technologies such as PowerShell, Ansible, Python, JavaScript
+ CCNA or CCNP certification or equivalent is a plus!
**Salary Range:** $84,200 - $126,400
**Salary Range 2:** $104,400 - $156,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Rocket Center, WVR10132689West VirginiaWVPrincipal/ Senior Principal Network EngineerNoneB5A3DE8F1E7C421EB7A844C057171632http://northropgrumman-veterans.jobs/B5A3DE8F1E7C421EB7A844C05717163223MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:50At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is seeking Vehicle Engineering Intern to join the Aeronautics Systems Intern Program. This position will be located in Melbourne, FL or Saint Augustine, FL
The qualified candidate will become part of Northrop Grumman's Vehicle Engineering Directorate.
The selected candidate will work in a dynamic people-focused environment where he/she will interact with users, customers and other developers.
As an intern, you'll participate in a summer internship program to develop competencies in a variety of vehicle engineering specializations that may include:
+ Construct, troubleshoot, calibrate, adjust, test, and maintain equipment, components, devices, or systems.
+ Work from engineering drawings and written or verbal instructions.
+ Operate related equipment; conduct tests and report data in prescribed format.
+ Perform calibration and alignment checks; make adjustments , modifications, and replacements as directed.
+ Prepare prescribed compounds and solutions.
**Basic Qualifications**
**A candidate, regardless of age and hiring source, must meet** **ALL of** **the below criteria. The candidate must:**
+ Be enrolled full-time and pursuing an undergraduate or graduate degree from an accredited college/university AND graduating after August 2025.
+ Be majoring in a STEM (Science, Technolo gy, Engineering or Math) degree.
+ Be available to work full-time (40 hours per week) for at l east 10 weeks during summer 2025.
+ Be able to obtain and maintain a U.S. Government security clearance (U.S. citizenship is a pre-requisite) as well as Program Special access within a reasonable period of time , as determined by the company to meet its business needs (U.S. citizenship is a pre-requisite).
**Preferred Qualifications**
+ Have a n overall cumulative GPA of 3. 25 /4.0 or higher .
+ Previous internship/co-op experience, leadership & teamwork capabilities, interpersonal communication skills, and activities (professi onal/community/extracurricular)
**Intern Pay Range**
+ Undergraduate degrees (Including graduating students planning on attending/enrolled in a full-time Master's program): $21.50 to $27.75 per hour
+ Master's: $31.25 to $34.50 per hour
"At Northrop Grumman, our internship pay rates are standardized and take into account three main factors: the anticipated graduation date of the individual, the cost of labor of the geographic location, and whether the internship is considered technical or administrative."
Campusjobs
+ For a broader consideration, please consider completing a profile in our campus candidate community. By clicking on the link below your resume will be visible to recruiters and hiring managers across Northrop Grumman with opportunities nationwide for our internship and entry-level positions. https://northropgrumman.yello.co/app/collect/form/9iuA6\_W8E7bMDHTOHUZoWw
**Salary Range:** $1 - $1
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10153422FloridaFL2025 Intern Vehicle EngineeringNoneBF1641382DE2422888014A2CF5DF08C2http://northropgrumman-veterans.jobs/BF1641382DE2422888014A2CF5DF08C223IukaNorthrop GrummanUnited StatesUSA2024-03-27 06:15:50At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems (NGAS)** is seeking a qualified **Program** **Cost Schedule Control Analyst (Level 2) or Principal Program Cost and Schedule Control Analyst (Level 3)** to join our team of high performing, diverse individuals. This position will be located in Iuka, MS and requires working from our NG facility.
The Air Dominance Division is a fast paced, dynamic, and complex environment that requires a candidate to possess self-initiative, strong analytical skills, and solid leadership characteristics. Candidate will have the following, but not limited to, responsibilities:
+ Prepare budgets and schedules for contract work and perform and/or assists in financial analyses such as funding profiles, sales outlook, and variance analysis
+ Prepare program plans to ensure program requirements and statement of work are captured and scheduled
+ Perform analyses and prepare reports in order to ensure that contracts are within negotiated and agreed-upon parameters and government cost control guidelines
+ Ensure adequate funding availability by maintaining accurate records of expenditures, directing preparation of expenditure projections, and submitting timely requests for additional funding to the government
+ Conduct cost analysis to develop EACs, generate financial inputs, and support monthly cost and schedule reviews
+ Identify pressures and opportunities, and develop recovery plans as required
+ Set up cost control systems (e.g. Costpoint and COBRA) and incorporate changes into the EVMS system to maintain cost and schedule baselines
+ Provide support to Integrated Product Teams, Cost Management, and Business Management Managers
+ Assist CAMs with EVMS activities including: WBS alignment, time phasing of the tasks, developing and documenting earned value methodologies, monthly performance measurement, estimate to complete analysis and updates, and variance analysis research and reporting
+ Support audits and reviews requested by programs, customers, and company management
+ Prepare government cost performance reports and preparation and/or review of performance measurement variance analysis (e.g. CFSR, CSDR, IPMR)
+ Earned Value Management Program Experience with familiarity to EIA-748 ANSI EV Guideline Reviews, and SOX Controls
**This requisition may be filled at a higher grade based on qualifications listed below.**
**Basic Qualifications (for Level 2):**
+ Bachelor's Degree with 2 years' proven experience in program control, cost planning, business, finance, and/or similar industry related fields or a Master's degree with stated experience
+ Proficient with MS Office including Excel (with Macros, Pivot tables and VLOOKUP) and PowerPoint
+ **Ability to obtain a DoD Secret Clearance and ability to obtain and maintain program access**
+ **Ability to work on-site in Iuka, MS**
**Basic Qualifications (for Level 3):**
+ Bachelor's Degree with 5 years' proven experience in program control, cost planning, business, finance, and/or similar industry related fields or a Master's degree with 3 years' of stated experience
+ Proficient with MS Office including Excel (with Macros, Pivot tables and VLOOKUP) and PowerPoint
+ **Ability to obtain a DoD Secret Clearance and ability to obtain and maintain program access**
+ **Ability to work on-site in Iuka, MS**
**Preferred Qualifications:**
+ Experience utilizing Earned Value Management principles and practices
+ Possess intermediate to advanced skills working in Deltek systems like Cobra and/or MPM
+ Experience with Costpoint and/or SAP
+ Experience successfully supporting a DoD monthly reporting & financial rhythm
+ Understanding of and experience with providing support to Integrated Product Teams (IPT)
+ Experience in Integrated Baseline Review (IBR) and DCMA Joint Surveillance Reviews (JSRs)
+ Candidate must gain and have an understanding of, and adherence to, company policies, procedures and internal controls
Salary Range for Program Cost Schedule Control Analyst (Level 2) $59,000.00 - $88,400.00
Salary Range for Principal Program Cost and Schedule Control Analyst (Level 3) $72,600.00 - $108,800.00
**Salary Range:** $59,000 - $88,400
**Salary Range 2:** $72,600 - $108,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Iuka, MSR10154014MississippiMSProgram (or Principal) Cost and Schedule Control AnalystNoneC2F60B3DDF374123AF54FC3E8A2A395Chttp://northropgrumman-veterans.jobs/C2F60B3DDF374123AF54FC3E8A2A395C23LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:50At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a well-qualified Windows Systems Administrator to join its dynamic team of technical professionals in the Linthicum, MD area.
This is a 2nd shift schedule position, requiring the selected candidate to work from 2:00 p.m. EST to 11:00 p.m. EST., on-site with time split between our Linthicum, MD and Annapolis Junction, MD campuses. Job responsibilities will include, but not be limited to, the following:
+ Perform as primary Windows System Administrator for a large, classified government contract.
+ Able to communicate effectively at all levels of the organization with internal or external customers, in written and oral format.
+ Maintain efficient operations of the entire IT infrastructure including servers, desktop and networking equipment.
+ Able to support multiple networks. Ensure necessary IT administration tasks are completed independently as necessary.
+ Analyze internal or external customer requirements and recommend equipment and software requirements for solutions to problems by means of automated systems.
+ Recommend and implement system enhancements that will improve the performance and reliability of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Provide backup and recovery services; manage file systems and disk space; manage virus protection on a routine basis as directed by policy.
+ Create and maintain user and computer accounts and modify file permissions as directed by policy.
+ Test new releases of products to ensure compatibility and minimize user and impact.
+ Develop and maintain technical processes and procedures as needed.
+ Maintain security audit and logging information on all classified networked and standalone computers as directed by the Cyber Security team.
+ Report project status as required for all recurring and non-recurring efforts.
+ Travel as needed.
+ Lift equipment weighing up to 40 pounds.
+ Work after hours and weekends as needed.
Note: Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
**Basic Qualifications:**
+ Associate's degree with 7 years of experience OR a Bachelor's degree with 5 years of experience OR a Master's degree with 3 years of experience; a High School diploma or equivalent with 9 years of experience may be considered in lieu of a completed degree.
+ Experience operating under and managing systems within current applicable information systems compliance standards.
Technical requirements:
+ Experience maintaining Classified Windows Domains in effective operations to included:
+ Working knowledge of:
+ Active Directory (AD) maintenance and support.
+ Group Policy (GPO) creation and deployment
+ DNS management
+ DHCP and scopes
+ Windows Server Update Servers (WSUS), SCCM or similar update service
+ Supporting golden image deployment and underlying infrastructure
+ Experience working with vulnerability scanning tools.
+ Remediating vulnerabilities.
+ Desktop support and maintenance.
Clearance and access requirements:
+ Candidates must have a current DoD Top Secret/SCI level security clearance with a polygraph (at a minimum), to include a closed investigation date completed within the last 6 years, or must be enrolled in the DoD Continuous Evaluation Program (CEP), in order to be considered; the required security clearance must be maintained as a condition of continued employment.
+ Must agree to submit and successfully complete a Polygraph reexamination as a condition to retain access to special program(s).
+ Must have the ability to obtain and maintain access to program as a condition of continued employment.
Non-Technical abilities and certifications requirements:
+ Current IAT Level II certification (ie. Security+, CASP, CISSP, or similar DOD 8570 security certification) or greater. Certification must be maintained as a condition of continued employment. greater.
**Preferred Qualifications:**
+ Experience giving technical presentation to technical and non-technical attendees.
+ Experience providing surge support to other programs as needed.
+ Active vendor-based IT certification.
+ Knowledge of Linux OS.
**Salary Range:** $93,000 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10147213MarylandMDPrincipal Windows Systems Administrator - Polygraph - 2nd ShiftNoneDB13BC1E1AB84C88AAC32BA81E156BBChttp://northropgrumman-veterans.jobs/DB13BC1E1AB84C88AAC32BA81E156BBC23OxnardNorthrop GrummanUnited StatesUSA2024-03-27 06:15:50At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
An organization within Northrop Grumman's Payload and Ground Systems (PGS) division, Mission Enabling Products is a leading designer, producer, and supplier of spacecraft components that power and enable satellites of all classes. Products include spacecraft panels, bus structures, precision optical structures, deployable structural systems and mechanisms, solar arrays, and antenna reflectors. Our products are on virtually every U.S. satellite built in the last 20 years.
The team in Oxnard, CA is seeking a Principal Structural Engineer (Thermal Analyst / Sr. Principal Structural Engineer (Thermal Analyst) to perform thermal analysis, design, and/or test of one or more moderately complex or portions of complex materials, structural components, and functional systems of company products. This position role will be based in **Oxnard, CA** .
**Primary Responsibilities:**
+ Perform orbital thermal analysis, design, and/or test of one or more moderately complex or portions of complex materials, structural components, and functional systems of company products.
+ Analyze requirements for materials, structural components, or functional systems.
+ Create system level thermal models of assemblies and detailed thermal models of subassemblies and individual parts.
+ Perform steady state and transient thermal analysis to predict temperatures, heat flows, and heat sinking requirements.
+ Release results as "technical documents" after review by the senior members of the team.
+ Analyses will be performed utilizing hand calculations and software packages such as AutoCAD with Thermal Desktop, RadCAD and SINDA/FLUINT
+ Possible task planning, preparing reports, conducting technical peer reviews, conducting test programs and mentoring junior engineers.
**Basic Qualifications Level 3:**
+ 5 years of relevant experience with a Bachelor of Science degree in a STEM discipline; 3 years of relevant experience with a Masters' degree; 0 years of relevant experience with a PhD
+ Minimum of 3 years of experience using Thermal Desktop software (C&R Technologies)
+ Must be a U.S. Citizens.
**Basic Qualifications Level 4:**
+ 9 years of relevant experience with a Bachelor of Science degree in a STEM discipline; 7 years of relevant experience with a Masters' degree; 4 years of relevant experience with a PhD
+ Minimum of 3 years of experience using Thermal Desktop software (C&R Technologies)
+ Must be a U.S. Citizens.
**Salary Range:** $104,600 - $152,200
**Salary Range 2:** $129,700 - $194,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Oxnard, CAR10109883CaliforniaCAPrincipal / Sr. Principal Thermal Engineer - Mission Enabling ProductsNoneE32A8CC054BB40B9A4D62C6D9EF03E11http://northropgrumman-veterans.jobs/E32A8CC054BB40B9A4D62C6D9EF03E1123Annapolis JunctionNorthrop GrummanUnited StatesUSA2024-03-27 06:15:50At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is hiring! Earn up to a $20,000 sign on bonus if you are hired against specific TS/SCI Polygraph positions.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators, who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we will support yours of expanding your personal network and developing skills, whether you are new to the field or an industry thought-leader. At Northrop Grumman, you will have the resources, support, and team to do some of the best work of your career.
The Software Engineer develops, maintains, and enhances complex and diverse software systems (e.g., processing-intensive analytics, novel algorithm development, manipulation of extremely large data sets, real-time systems, and business management information systems) based upon documented requirements. Works individually or as part of a team. Reviews and tests software components for adherence to the design requirements and documents test results. Resolves software problem reports. Utilizes software development and software design methodologies appropriate to the development environment. Provides specific input to the software components of system design to include hardware/software trade-offs, software reuse, use of Commercial Off-the-shelf (COTS)/Government Off-the-shelf (GOTS) in place of new development, and requirements analysis and synthesis from system level to individual software components.
Basic Qualifications:
• A current and active TS/SCI Clearance with Polygraph is required.
• 2+ years of applicable experience and a Bachelor's Degree in Computer Science or related discipline from an accredited college or university.
• Ability to analyze user requirements to derive software design and performance requirements.
• Ability to design and code new software or modify existing software to add new features.
• Ability to debug existing software and correct defects.
Preferred qualifications:
• Java Development• JavaScript • SQL
• Spring Framework Experience.
• AngularJS Framework Experience.
• Web Application Development.
• An interest and/or ability to develop simple data queries for existing or proposed databases or data repositories.
**Salary Range:** $95,900 - $143,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Annapolis Junction, MDR10143965MarylandMDCyber Software Engineer (EC)NoneE3F7198011254299BBA180C59A32F2EFhttp://northropgrumman-veterans.jobs/E3F7198011254299BBA180C59A32F2EF23Beale AFBNorthrop GrummanUnited StatesUSA2024-03-27 06:15:50At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman has an opening for a **Computer Systems Architect** to join our team of qualified, diverse individuals within ourSystems Engineering organization.This role requires up to 50% ability to travel.
In this role, you will lead and maintain the smooth operation of training systems development laboratory.
Essential Functions would include the following:
+ Design and define system architecture for new or existing complex computer systems and supporting labs
+ Determine systems specifications, input/output processes, and working parameters for hardware/software compatibility and maintenance of system security.
+ Coordinate design of subsystems and integration of total system.
+ Identify, analyze, and resolve program support deficiencies.
+ Develop and recommend corrective actions.
+ Consult with software baseline engineers to ensure successful system total design.
+ Plan, evaluate, and coordinate installation and/or reconfiguration of Commercial of the Shelf (COTS) hardware and propriety software builds.
+ Maintain technical expertise in all areas of networks and computer hardware and software interconnection and interfacing, such as routers, multiplexers, firewalls, hubs, bridges, gateways, etc.
+ Prepare or ensure that appropriate network documentation exists, including operational instructions.
+ Provide regular monitoring and network analysis regarding short- and long-range planning for in-house systems.
+ Coordinate third-party maintenance for network equipment.
+ Design network or portion of network that include selection of hardware and software packages.
Additionally, the team will appreciate your:
Knowledge, Skills and Ability
General frequent use and application of technical standards, principles, theories, concepts and techniques. You will apply your skill and ability to perform moderately complex professional tasks.
Problem Solving Skill
Provides solutions to a variety of technical problems of increasing scope and complexity
Discretion/Latitude
Your overall work is reviewed for soundness of technical judgment, overall adequacy and accuracy.
Impact
You will contribute to the completion of significant milestones associated with specific projects. Failure to achieve results or inadequate work product will impact the overall program schedules and allocation of additional resources.
Liaison Skill
Primarily internal company contacts. Infrequent inter-organizational and outside customer contacts on routine matters.
While we offer flexible work arrangements, 9/80 work schedule with every other Friday off, this specific role also may require occasional odd shifts, weekend work, as well as up to 50% travel. Other benefits include phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Educational assistance is available to employees who want to continue to learn and develop skills/knowledge. Our educational assistance program supports employee development by providing resources such as:
+ Free Education Advice: Call 855-222-2398 to speak with an experienced advisor to tailor an educational program to your career goals and needs.
+ Tuition Savings at Accredited Schools: Access a network of accredited schools in a variety of locations (and online) to take advantage of reduced tuition costs and waived fees.
+ Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees!
**Basic Qualifications:**
+ Must have a Bachelor of Science degree in a STEM discipline and 2 years of relevant military / professional experience in a computer engineering field OR a master's degree in a STEM discipline with relevant military / academic experience in a computer engineering field
+ Must have an active DoD Top Secret (or higher) clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation).
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance
+ Must be able to obtain and maintain IAT Level 2 Security Certification (Security+, CySA+, CCNA Security, GICSP, GSEC, SSCP) or an IAT DoD Level 3 Certification (CASP, CCNP-Security, CISA, CISSP, GCED, GCIH)
+ Must be willing to work outside of normally scheduled times, after hours support, and extended workdays. This can include a flex schedule that would include holidays, late-night shifts, and weekends dependent on needs.
+ Must be able and willing to meet medical requirements for worldwide travel. Must have a valid U.S. passport, and be willing to accept customer furnished quarters for a period up to 120 days away from primary work location and comply with mobility requirements such as chemical warfare training and immunizations that may include anthrax and smallpox.
+ Must be willing to travel up to 50%.
+ Must have a valid driver's license.
**Preferred Qualifications:**
+ Bachelor of Science degree in Information Systems with 2 years of experience or 0 years of relevant experience with a master's degree with a current IAT Level 2 security certification.
+ DoD 8570 IAT Level I Certification (Security+).
+ Experience performing Information Systems Security duties.
+ Experience with the following operating systems and applications:
+ Microsoft Office, Access, and Visio.
+ Integrated Maintenance Data System
+ Unix/Solaris Operating Systems and Principles
+ Windows Server
+ Wide Area (WAN) and Local Area (LAN) networking
+ Group policy design, testing and implementation.
+ Oracle RAC and DB
+ VMware Virtualization
+ Cisco IOS
+ Red Hat Linux
+ Assured Compliance Assessment Solutions (ACAS)
**Salary Range:** $83,300 - $124,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Beale Afb, CAR10145852CaliforniaCAComputer Systems Architect (Active Top Secret Required; Moderate Travel Required; Beale AFB CA)NoneE62E8920903A47A9831421B6F00897D9http://northropgrumman-veterans.jobs/E62E8920903A47A9831421B6F00897D923NorthridgeNorthrop GrummanUnited StatesUSA2024-03-27 06:15:49At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
This position description does not represent a current opening but may be used to identify candidates with skills and experience for positions within Northrop Grumman that frequently become available. Candidates who express an interest may be considered for future positions at Northrop Grumman.
We are currently seeking a Sr. Principal / Staff Systems Security Engineer (Cybersecurity/Anti-Tamper Engineer) w/ Active Clearance to work with our talented Advanced Weapons team to be located in either Northridge, California, Tucson, Arizona or Baltimore, Maryland.
As an integral part of our multi-discipline engineering team in Advanced Weapons, you will be on the forefront of developing next generation solutions to protect technology for our nation's warfighter. The ideal candidate will be supporting one of our Advanced Programs for defining and architecting a secured missile weapon system. This position will require close collaboration with team members of other projects within the organization for security architecture designs as well as external partners and customers.
In this role, the selected candidate will (but not be limited to):
+ Support analysis, development, implementation and security assessments to ensure compliance with National Institute of Standards and Technology (NIST) Special Publication (SP) 800-53, CNSSI 1253, and DoD RMF Knowledge Service guidance
+ Assist in defining system architectures resistant to tampering and cyber-attacks
+ Develop technical documentation/publication to document trade studies, system designs, analysis, and results related to systems security
+ Support identification of Anti-Tamper Critical Program Information (CPI) and writing of Anti-Tamper Plans
+ Support Anti-Tamper/Cybersecurity Integration & Test and executing verification & validation requirements
+ Utilize reverse engineering to architect and validate AT solutions
+ Maintain technical knowledge by reviewing publications and participating in communities of practice to further domain knowledge
+ Support security assessments and continuous monitoring
+ Ensure secure software architectures and applications
+ Develop standard and improvement processes for assessing, designing, implementing, and verifying security requirements
+ Interface with inter-organizational management to communicate technical briefings and program status
Relocation assistance, while not guaranteed, may be available.
The selected candidate for this position is required to be on-site in Northridge, CA.
Basic qualifications for a Principal Cyber Systems Engineer level (T03):
+ B.S. in an engineering/computer science discipline and a minimum of five years of engineering, computer science, information systems, or equivalent experience
+ Minimum two years of cumulative experience in: cybersecurity/anti-tamper requirements development, security and cyber threat analysis, security systems engineering, cyber systems architecture development or cybersecurity policy development
+ Must have demonstrated experience in solving technical problems independently with minimal supervision
+ U.S. Citizenship and active secret DoD clearance is required with the ability to obtain higher Special Access Program (SAP) clearance
Preferred Qualifications:
+ Advanced degree in Engineering, Software or Science
+ Experience working in the defense industry or weapons systems
+ Possess a DOD 8570 certification: CompTIA Security+, CISSP or similar
+ Experience with Reverse Engineering, Hardware Design, Embedded Software or Cryptography
+ Technical problem solving, to include decomposition, root cause analysis, solution development, implementation and monitoring.
+ Experience contributing to and/or making technical presentations to a lead engineer
LYCNS1207
**Salary Range:** $115,200 - $172,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Northridge, CAR10139456CaliforniaCAAnti Tamper ProfessionalsNone195FBE2CFB024BF880D2FC6D5FB2348Ehttp://northropgrumman-veterans.jobs/195FBE2CFB024BF880D2FC6D5FB2348E23PalmdaleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:49At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems is currently seeking a **Principal Cyber Systems Engineer or Senior Principal Cyber Systems Engineer** to join our team of qualified, diverse individuals located in Palmdale **, CA** .
We're looking for a highly motivated, team oriented, individual that understands security and the importance to our mission. The candidate will be responsible for the secure operations of cloud infrastructure, platforms, and software, including the installation, maintenance, and improvement of cloud computing environments. They will also help develop new designs and security strategies across cloud-based applications and Infrastructure as Code (IaC). The candidate will act as a Cyber Subject Matter Expert (SME) and ensure compliance with the Risk Management Framework.
**Responsibilities:**
+ Design, plan, implement, and perform assessment of security controls, polices, and processes compliance with National Institute of Standards and Technology (NIST) Special Publication (SP) 800-53, CNSSI 1253, and DoD RMF Knowledge Service guidance.
+ Participate in assessment of systems security controls to validate control implementation and identify weaknesses.
+ Document the results of Certification and Accreditation activities, technical or coordination activity, prepare the system Security Plans, and update the POA&M.
+ Periodically conduct a complete review of each system's audits and monitor corrective actions until all actions are closed- Implementation, automation, configuration and maintenance of security tools, centralized authentication solutions, IDS/IPS, and compliance baselines.
+ Provide advanced technical analyses of cyber infrastructure challenges and problems; develop/identify technical solutions responsive to customer needs.
+ Participate in team reviews of technical requirements, design and implementation plans prior to deployment.
+ Recommend and implement system enhancements that will improve the performance, reliability, and security of the system including installing, upgrading, monitoring, problem resolution, and configuration.
+ Serve as a Cyber Security engineer (ISSE) supporting high-level technical and practical expertise.
+ Assures the implementation of Cyber Security disciplines, including COMSEC, COMPUSEC, EMSEC, OPSEC, digital communications systems, network protocols and architectures, and penetration tools and techniques.
+ Support the continuous assessment of IA Control compliance for systems within their responsibility.
**Basic Qualifications (Level 3):**
+ Bachelor's in Science degree with 5 or more years of technical experience in any combination of Cyber, Systems, Software, or Hardware engineering disciplines; Master's degree with 3 or more years of technical experience in any combination of Cyber, Systems, Software, or Hardware engineering disciplines; PhD with 0 or more years of technical experience in any combination of Cyber, Systems, Software, or Hardware engineering disciplines.
+ Must have an Active, In-Scope, DOD Secret Security Clearance or higher that has been granted / renewed within the past 6 years.
+ Must have the ability to obtain and maintain Special Program Access
+ Must have at minimum a current DoD 8570 IAT Level II Certification (Security+CE).
**Basic Qualifications (Level 4):**
+ Bachelor's in Science degree with 9 or more years of technical experience in any combination of Cyber, Systems, Software, or Hardware engineering disciplines; Master's degree with 7 or more years of technical experience in any combination of Cyber, Systems, Software, or Hardware engineering disciplines; PhD with 4 or more years of technical experience in any combination of Cyber, Systems, Software, or Hardware engineering disciplines.
+ Must have an Active, In-Scope, DOD Secret Security Clearance or higher that has been granted / renewed within the past 6 years.
+ Must have the ability to obtain and maintain Special Program Access
+ Must have at minimum a current DoD 8570 IAT Level II Certification (Security+CE).
**Preferred Qualifications:**
+ DoD 8570 IAM II/III Certification (CAP, GLSC, CISSP, CASP CE).
+ DoD 8500-series and 8510.01 IA policy directives, approaches to cyber security, knowledge of security procedures, IATT and ATO requirements.
+ Excellent communication (written and oral), negotiation and interpersonal skills necessary to support known ISSE activities/challenges working with engineering teams, management, customers, partners and government.
+ Experience with Dell, Cisco, Palo Alto and other next generation switches and firewalls.
+ Experience with SDLC and DOORs application.
+ Experience with cloud solutions like Azure and AWS.
+ Experience translating technical concepts and program information to others.
+ Solid understanding of planning, design, and implementation necessary to support a large enterprise system.
+ Working knowledge of NIST 800-37 RMF body of evidence artifacts such as SSP, SCTM, PoA&M's, SAR, RAR, RAL, ConOps, ISA, etc.
+ Experience with configuring Security Incident Event Monitoring and IDS/IPS tools such as ACAS, ESS (HBSS), and Splunk on Linux RedHat and Windows environments.
+ Experience with vulnerability and compliance scanners such as Tenable.SC and SCAP.
+ Experience with CDS technology, security, and compliance requirements.
+ Experience with cloud environments supporting the configuration design, integration, sustainment, and retirement of systems.
+ Experience in preparing and/or reviewing technical and programmatic documentation.
+ Working experience deploying and configuring Linux and Windows systems in accordance with DoD STIG requirements.
+ Experience scripting security processes in efforts to establish redundant, consistent and automate baselines across multiple systems.
+ Experience scripting/automating system installation and configuration to establish redundant, consistent and automate baselines across multiple systems (Ansible, GPO, package files)
**Salary Range:** $115,200 - $172,800
**Salary Range 2:** $142,800 - $214,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10137433CaliforniaCAPrincipal Cyber Systems Engineer/Senior Principal Cyber Systems EngineerNone34C0B62B70954A81A4DDE23624BEAA64http://northropgrumman-veterans.jobs/34C0B62B70954A81A4DDE23624BEAA6423BeavercreekNorthrop GrummanUnited StatesUSA2024-03-27 06:15:49At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Title: Manufacturing Technician 3 - First Shift**
**Job Code: K99803**
US CITIZENSHIP REQUIRED FOR THIS POSITION: Yes
RELOCATION ASSISTANCE: No relocation assistance available
CLEARANCE TYPE: Secret
WORK SHIFT: Any
TRAVEL: No
REFERRAL BONUS ELIGIBLE: No
**Description**
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Northrop Grumman Aeronautics Systems (NGAS) is seeking **level 3 Manufacturing Technician** to join our Beavercreek, (Dayton) Ohio. We are a world-class manufacturer of composite primary and secondary structures for commercial and military aircraft and launch vehicles. Our work is mission critical and directly contributes to national security.
The Manufacturing Technician performs functions associated with all manufacturing operations, including working with engineers in set-up and calibration tasks, as well as performing rework and quality testing related to the production of parts, components, subassemblies, and final assemblies. They use sophisticated programs to collect and evaluate operating data to conduct on-line adjustments to products, instruments, or equipment. They also determine and may assist in developing methods and procedures to control or modify the manufacturing process.
This position can be filled at varying shift times. Shift differential paid during eligible shifts.
Additional job functions/responsibilities include:
+ Fabricate composite components/tools, which may include bond prep, tool prep, ply kit generation, lamination, vacuum bagging, de-molding, trimming, finishing, core fabrication, and bond work
+ Troubleshoot and solve unique problems working with engineering and other composite technicians
+ Handle perishable materials, paying close attention to expiration dates and out time life, and traceability information
+ Read and interpret job orders, drawings, and specification sketches
+ Operate handheld tools. (e.g.) Scissors, razor knives, grinders, drills, sanders, cutting wheels, saws, routers, etc.
+ Utilize lean manufacturing principles and disciplines to enhance quality, cost and delivery
+ Maintain a clean and safe working environment
+ Support other departments or completes other duties as necessary
+ Be able to operate autoclave and curing ovens
+ Work in both FOD critical and FOD sensitive environments and observe all related safety procedures
+ Responsible to completed and pass job specific qualifications programs required to perform task
**Basic Qualifications:**
+ High School Diploma or GED and **4 years** of composite or Aerospace manufacturing fabrication or assembly experience
+ Mechanical aptitude/ experience (please be sure, this is highlighted on your resume)
+ Ability to work in a standing position for extended time periods and to lift 50 lbs
+ Ability to work flexible/changing schedules and weekend
+ Ability to work in Kettering or Beavercreek location
+ Proficiency in Microsoft application
+ Ability to obtain and maintain a DoD Secret Clearance
**Preferred Qualifications:**
+ Active DoD Secret Clearance
+ Composite manufacturing experience to include layup, assembling, or fabrication of composite parts
+ Experience using hand tools or measuring devices (Drills, grinders, sanders, cutting wheels, saws, tape measures, scales, micrometers, dial calipers, etc.)
+ Ability to read and understand blueprints, technical specifications, and operating procedures
+ Prior Military
+ A&P License
**Salary Range:** $25 - $42
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Beavercreek, OHR10145920OhioOHManufacturing Technician 3None45385CD67B594DC3BD6B4CD1F3B22197http://northropgrumman-veterans.jobs/45385CD67B594DC3BD6B4CD1F3B2219723Annapolis JunctionNorthrop GrummanUnited StatesUSA2024-03-27 06:15:49At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
The Northrop Grumman Mission Systems (NGMS) Advanced Processing Solutions Business pushes the boundaries of innovation, redefines the leading edge of exotic new technologies, and drives advances in the sciences. One of our most challenging new fields is Transformational Computing, which combines the unique properties of superconductivity and quantum mechanics to develop radical new energy-efficient computing systems. Our team is chartered with providing the skills to transform computing beyond Moore's Law, advancing development of computer architectures, processing/memory subsystems, and large-scale high-performance computing systems. You'll work in a R&D environment alongside a broad array of scientists and engineers to make these processing solutions a reality and deliver remarkable new advantages to the warfighter.
The Systems Engineering group is seeking Superconducting Electronics test engineers to join our devices test team.
**This position will serve on-site in Linthicum/** **Annapolis Junction , MD .**
Responsibilities:
+ Lead and support test and test development activities for Quantum electronics research and development.
+ Testing procedures for the characterization and validation of quantum device function.
+ Support the current and future development of test platforms within function, cost and schedule constraints.
+ Document and implement test requirements and procedures.
+ Review test plans for accuracy and completeness.
+ Compile data and help to define changes required in testing methodology, equipment, testing procedures, manufacturing processes, or new testing requirements.
**This position may be filled as a Principal or Sr. Principal Superconducting Electronics Test Engineer grade level based on the requirements below.**
**Basic Qualifications for Principal** **Superconducting Electronics** **Test Engineer:**
+ Bachelor's Degree or higher in a STEM discipline (Science, Technology, Engineering, Math) such as Electrical Engineering, Computer Engineering, Computer Science, Aerospace Engineering, Physics, or a similar technical discipline with 5 years of related experience, or 3 years with a Master's Degree, or 0 years with a PhD.
+ Proficient in the use of at least one of the following programing languages; MATLAB, Python, C, or C++.
+ Adept in the use of RF test equipment such as: O-scopes, Network analyzer, spectrum analyzers, etc.
+ Well established trouble shooting and system integration experience.
+ Strong analytic skills and familiarity in performing data analysis.
+ Knowledgeable in the principles of microelectronic device physics.
+ Experience leading projects with the ability to communicate effectively to team members both written and verbally.
+ This position requires the applicant to be a U.S. citizen.
+ Active TS/SCI with poly clearance per business requirements .
**Basic Qualifications for Sr. Principal** **Superconducting Electronics** **Test Engineer:**
+ Bachelor's Degree or higher in a STEM discipline (Science, Technology, Engineering, Math) such as Electrical Engineering, Computer Engineering, Computer Science, Aerospace Engineering, Physics, or a similar technical discipline with 9 years of related experience, or 7 years with a Master's Degree, or 4 years with a PhD.
+ Proficient in the use of at least one of the following programing languages; MATLAB, Python, C, or C++.
+ Adept in the use of RF test equipment such as: O-scopes, Network analyzer, spectrum analyzers, etc.
+ Well established trouble shooting and system integration experience.
+ Strong analytic skills and familiarity in performing data analysis.
+ Knowledgeable in the principles of microelectronic device physics.
+ Experience leading projects with the ability to communicate effectively to team members both written and verbally.
+ This position requires the applicant to be a U.S. citizen.
+ Active TS/SCI with poly clearance per business requirements .
**Preferred Qualifications of Sr.** **Principal** **Superconducting Electronics Test Engineer:**
+ Experience in superconducting electronics and associated test platforms test platforms.
+ Expertise of the principles of superconductivity.
+ Familiarity working with cryogens and/or cryogenic test systems.
+ Prior experience working in a laboratory environment.
+ RF device test experience.
+ Adept at leading small teams of engineers and/or technicians.
+ Ability to adjust to changing priorities and requirements.
\#NGAPS
\#SEITAPS
**Salary Range:** $102,400 - $160,900
**Salary Range 2:** $127,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Annapolis Junction, MDR10144104MarylandMDPrincipal / Sr. Principal Superconducting Electronics Test Engineer TC (CLEARANCE REQUIRED)None5528AD7A6753449A9564152371EDE333http://northropgrumman-veterans.jobs/5528AD7A6753449A9564152371EDE33323Rolling MeadowsNorthrop GrummanUnited StatesUSA2024-03-27 06:15:49At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems has an opening for a Tool Designer to join our team of qualified, diverse individuals. This position is located in Rolling Meadows, IL, and will report to the site's Industrial Engineering Manager.
**Responsibilities**
+ Develop fixture and tooling design concepts to aid the various manufacturing departments throughout the facility.
+ Work with Manufacturing Engineering, Design Engineering, and production to design tooling to support the operations.
+ Participate in continuous improvement and problem-solving projects.
+ Assist engineering with tool design and re-design.
+ Direct new tool build, spare component, and tool maintenance
+ Support the Test Equipment Engineering team in withdrawing support, part number requests, and submittal into the release cycle in the PDM system.
**Basic Qualifications Process Engineer**
+ 2 years relevant experience with Tool Design/Mechanical Design
+ BS in Mechanical Engineering or related STEM degree with specific tool design experience or MS + 0 years of relevant experience.
+ Strong analytical and problem-solving skills
+ US Citizen and ability to obtain DoD Security Clearance
**Basic Qualifications Principal Process Engineer**
+ BS in Mechanical Engineering or related STEM degree with specific tool design experience with 5 years of relevant experience with Tool Design/Mechanical Design or MS + 3 years of relevant experience.
+ Strong analytical and problem-solving skills
+ US Citizen and ability to obtain DoD Security Clearance
**Preferred Requirements for the Tool Design Position**
+ Experience and proficiency with NX software is preferred.
+ Experience with AutoCAD software
+ Experience with geometric dimensioning and tolerancing
+ Experience integrating models from various platforms in STEP formats.
+ Understanding of revision control and team center
+ Personal time management must be able to manage multiple tasks and projects effectively.
+ Able to work independently or with minimal guidance/supervision.
+ Good interpersonal skills and be able to collaborate in a team setting.
+ Good computer skills, with knowledge of Microsoft Word and Excel
+ Excellent oral and written communication and presentation skills with the ability to interact at all professional levels.
MANUMS
**Salary Range:** $75,700 - $113,500
**Salary Range 2:** $93,000 - $139,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Rolling Meadows, ILR10152765IllinoisILProcess Engineer / Principal Process Engineer for Tool DesignNone6FF5029348E04F0592485C677F89A29Ahttp://northropgrumman-veterans.jobs/6FF5029348E04F0592485C677F89A29A23Fort EisenhowerNorthrop GrummanUnited StatesUSA2024-03-27 06:15:49At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking an engineer to join our team to collaborate on the design, deployment, and maintenance of distributed architecture systems. This position will assist in the development and implementation of enterprise solutions that align with best practices to support mission critical objectives. This engineer will be tasked with maintaining awareness of market and technology trends to bring new and best of breed solutions to our customers.
**Typical Minimum Education / Experience for Principal Cyber Systems Engineer:** Five (5) years of relevant experience with bachelor's degree in Science, Technology, Engineering and/or Mathematics (STEM); three (3) years with Masters; no experience required with PhD. **NOTE:** Four (4) years of additional relevant experience can be substituted in lieu of degree.
**To be considered you'll need:**
+ US Citizenship is required with an active DoD **Top Secret/SCI security clearance which was active in the last 24 months**
+ Must possess DoD 8570 Certification for IAT Level II or higher prior to start date
**You will be a great fit if:**
You have working knowledge in **two or more** of the following areas:
+ **Data Storage Technologies** : Technical expertise with NetApp. Maintain backup and recovery solutions, storage provisioning, storage management, implement data protections. Provide Data Analysis, preliminary design, and cost estimates.
+ **Virtual Infrastructure:** Ability to design, deploy, manage, maintain, and upgrade VMware vCenter, ESXi, NSX-T, Horizon VDI environments, and Tanzu Kubernetes Grid.
+ **Network Engineering:** Ability to plan, design, implement, and maintain a physical and virtual network environment. Technical expertise with Cisco and/or VMware NSX devices and technologies. Knowledge of routing protocols, employment of distributed logical routers and firewalls, and network micro-segmentation. Create illustrated network design and configuration diagrams in Visio for small to large networks.
+ **Windows Systems Engineering:** Experience in designing, implementing, and configuring Windows enterprise architecture to include Active Directory, DHCP, GPOs, DNS, LDAP, Bitlocker and Certificate Authorities. Technical expertise with MS Exchange, MECM (Microsoft Endpoint Configuration Manager), MS SQL, WSUS, Windows operating system and Windows Server environments.
+ **Linux Engineering:** Experience with multiple Linux distributions with a focus on Red Hat Enterprise Linux. Ability to employ security hardening, granular role-based access, and automation of repeated tasks. Specialized knowledge with Red Hat Satellite Server, Capsule Servers, System Build Automation (Ansible, Red Hat Ansible Tower, Red Hat Automation Controller) and PostgreSQL.
+ **Scripting/Automation :** Experience in automating engineering tasks utilizing scripting and/or orchestration tools. Specifically, proficiency in one or more of the following languages is preferred: PowerShell, Python, Bash, or YAML (executed/managed via Ansible). Knowledge of version control using code repositories (Bitbucket/Gitlab).
**What to expect:**
+ **During the first 30 days of employment** onboarding activities to include Northrop Grumman training requirements, program overviews, system architecture familiarization, and department-specific training will establish a solid foundation for the new team member. Introductions to management and team leads will provide insight into the team dynamics while identifying key areas where the engineer can provide value to the mission.
+ **Day 30 through 90** will consist of further integrating the engineer into daily activities with a team lead to guide them. Tasks will be assigned in accordance with the appropriate pace needed to ensure process and system architecture assimilation.
+ **Day 90 and onward** will consist of mission-guided projects and opportunities for the engineer to collaborate in a cross-functional team environment. From leading day-to-day activities in the operations department, to testing cutting-edge feature enhancements to the enterprise architecture, the engineer will have the ability to invest in their future while delivering maximum value to our customer's mission.
**Total rewards:**
In addition to the competitive pay and 40 hours of PTO on day 1, as a full-time employee of Northrop Grumman, you are eligible for our competitive benefits package including:
- Medical, Dental & Vision coverage
- 401k 6% Matching
- Educational Assistance ($10,000 a year) / Certification Reimbursement
- Life Insurance
- Employee Assistance Programs & Work/Life Solutions
- Paid Time Off
- Health & Wellness Resources
- Employee Discounts
- Diversity, Equity & Inclusion resources
- Veteran Resources
This position may provide the employee the flexibility of working a traditional 40-hour week or work a 9/80 schedule. The 9/80 schedule allows employees who work a nine-hour day to take every other Friday off. This role may offer a competitive relocation assistance package.
**Learn more about the exciting mission at Northrop Grumman:**
https://www.northropgrumman.com/cyber/
https://www.linkedin.com/company/northrop-grumman-corporation/
\#NGFeaturedJobs
**Salary Range:** $99,500 - $149,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fort Eisenhower, GAR10144724GeorgiaGASystems Engineer (Active TS/SCI clearance)None70D969E8A097457CB57A1BFBC77F44EEhttp://northropgrumman-veterans.jobs/70D969E8A097457CB57A1BFBC77F44EE23San DiegoNorthrop GrummanUnited StatesUSA2024-03-27 06:15:49At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
NG- Military Internship Program Description:
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29 .The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members.
Responsibilities for this internship position are:
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for SkillBridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
Goals - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to
offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
Objectives - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
Outcome - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
DoD SkillBridge Eligibility:
* Has served at least 180 days on active duty
* Is within 12 months of separation or retirement
* Will receive an honorable discharge
* Has taken any service TAPS/TGPS
* Has attended or participated in an ethics brief within the last 12 months
* Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
has context menu
**Job Description**
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our organization is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter.
We are looking for you to join our team as a Network Engineer based out of San Diego, CA. As a Network Engineer at Northrop Grumman you will have a challenging and rewarding opportunity to be a part of our Enterprise-wide digital transformation. Through the use of Model-based Engineering, DevSecOps and Agile practices we continue to evolve how we deliver critical national defense products and capabilities for the warfighter. Our success is grounded in our ability to embrace change, move quickly and continuously drive innovation. The successful candidate will be collaborative, open, transparent, and team-oriented with a focus on team empowerment & shared responsibility, flexibility, continuous learning, and a culture of automation.
**What You'll Get To Do:**
The Northrop Grumman Resilient Network Solutions (RNS) operating unit seeks a highly-motivated Network Engineer to join our team in San Diego, CA. Our communication systems experts develop next-generation strategic and tactical communication systems for our armed forces. Realize the rewards of conquering a new challenge in a dynamic and highly-technical working environment by joining our team of qualified, diverse individuals.
RNS network engineers design, integrate, and operate secure, military communications networks. They deliver detailed network schematics, hardware selections, and software configurations that meet performance requirements. Network engineers maintain an advanced technical understanding of TCP/IP networks and current products and technologies like routers, firewalls, and switches. They employ necessary tools and procedures to meet cyber-security requirements for sensitive/classified communications systems. Our engineers operate as part of an integrated product team to troubleshoot network problems and support various devices that use the network, including computers that run operating systems like Windows, Linux, and VMWare.
Ideal candidates for this position will have specific qualifications derived from practical experience and study. We seek networking experts that understand advanced networking features, network security, and network management. Candidates also benefit from experience with our common tools and equipment. See the preferred qualifications, summarized below.
**Basic Qualifications:**
+ 5 Years with Bachelors in Science; 3 Years with Masters; 0 Years with PhD Bachelor's degree in STEM field; may consider an additional 4 years of experience in lieu of degree.
+ Current expertise with network specifications, configuration and System hardening
+ Expertise with IP subnetting and protocols including BGP, OSPF and EIGRP
+ Expertise with network device configuration and troubleshooting (ideally, Cisco IOS/IOS-XE)
+ Good understanding of advanced features like IPv6, GRE, IPSEC, IP quality-of-service, VLANs, and multicast.
+ Good understanding network security features like IP access-lists and stateful protocol inspections.
+ Familiar with network management protocols, like SNMP, SSH, syslog, RADIUS/TACACS
+ Ability to operate as part of an engineering team, including knowledge of related disciplines.
+ Ability to interact with senior customer organizations and personnel.
+ Ability to operate with considerable independence, and to self-identify/pursue long-term interests.
+ Ability to communicate well, including proficiency with MS Office tools, including PowerPoint and Visio
+ Ability to obtain a DoD Secret Clearance; US Citizenship is required in order to obtain and maintain security clearance
**Preferred Qualifications:**
+ A professional certification specific to the networking industry like a CCNA/CCNP/CCIE, JNCIA/JNCIE, or equivalent.
+ Familiar with network management applications, like WhatsUpGold, Splunk, Solarwinds, and/or Cisco ISE.
+ Familiar with Windows, Linux, and VMWare configuration and troubleshooting.
+ Experience with HAIPE/TACLANE and other military COMSEC/CRYPTO equipment and procedures.
+ Experience with tactical/military radio networks, like Common Data Link (CDL) and ANW2.
+ Experience with (MIL) SATCOM equipment and services.
+ Experience with Cisco Unified Communications / VoIP and VTC
+ Experience with Cisco Modelling Labs (CML) or Virtual Internet Routing Lab (VIRL).
+ Experience with wide-area service-provider technologies like MPLS and SONET
+ Exposure to the Risk Management Framework, associated security controls, and STIGs.
+ Active DoD Secret Clearance
**Salary Range:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10140644CaliforniaCAPrincipal Network Engineer (DoD SkillBridge) - San Diego CANone8121BA047610407FB70106C151406E4Chttp://northropgrumman-veterans.jobs/8121BA047610407FB70106C151406E4C23MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:49At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Chief Information Office is seeking a motivated Linux Systems Administrator in the Melbourne, FL area ready to gain and apply enterprise-wide experience to ensure the security, reliability, and availability of multiple systems/platforms in support of our Nation's defense.
The selected candidate will be required to work on-site, full-time at our Melbourne, FL campus . The individual will perform the following duties on a day-to-day basis in support of the program:
+ Maintaining operations of multi-user Linux network services.
+ Providing technical support for Linux systems and associated networks.
+ Installing, troubleshooting, servicing, and repairing Linux servers and workstations.
+ Instructing and assisting users in the use of workstations and networks.
+ Installing, upgrading/patching, monitoring, problem resolution, and configuration management of systems.
+ Developing and documenting technical processes and procedures as needed.
+ Providing backup and recovery services; managing file systems and disk space; and managing virus protection on a routine basis.
+ Investigate information, network, and communications needs of users, and make recommendations regarding software and hardware purchases.
+ Coordinating actions with users, IT staff, server administrators, network engineers, and IT management.
+ Recommending and implementing system/process enhancements to improve performance and reliability of the system.
+ Reviewing trouble ticket queues to identify issues that need to be addressed.
+ Working through the ticket queue without intervening management direction (self-motivated).
+ Resolving tickets within established SLAs and raising issues that require management attention or involvement.
+ Establishing and maintaining high levels of customer satisfaction.
+ Participating in new installations, testing and special projects as needed.
+ Exhibiting good communication skills, an energetic approach, and patience with customers.
+ General frequent use and application of technical standards, principles, theories, concepts and techniques. Demonstrates the skill and ability to perform moderately complex professional tasks.
+ Provides solutions to a variety of technical problems of increasing scope and complexity as assigned
+ Work is reviewed for soundness of technical judgment, overall adequacy and accuracy.
+ Works under general supervision.
+ Contributes to the completion of milestones associated with specific projects. Failure to achieve results or inadequate work product should be detected by supervisory oversight but may cause delay in program schedules and allocation of additional resources
+ Primarily internal company contacts. Infrequent inter-organizational and outside customer contacts on routine matters.
+ Lifting equipment weighing up to 40 pounds.
+ Ability to work after hours and weekends as needed.
Note: Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
This position may be filled at a higher level based on the qualifications listed below.
**Basic Qualifications for a Level 2:**
+ Associate's degree and 4 years of experience OR Bachelor's degree and 2 years of experience OR Master's degree and 0 years of experience; 6 years of experience may be considered in lieu of completed degree.
+ Active DoD Secret clearance with ability to obtain and maintain a DoD Top Secret clearance as a condition of continued employment.
+ Ability to be cleared to special access programs.
+ IAT Level II certification (ex. Security+ CE) or greater.
+ Experience with Linux OS.
**Basic Qualifications for a Level 3:**
+ Associate's degree and 7 years of experience OR Bachelor's degree and 5 years of experience OR Master's degree and 3 years of experience; 9 years of experience may be considered in lieu of completed degree.
+ Active DoD Secret clearance with ability to obtain and maintain a DoD Top Secret clearance as a condition of continued employment.
+ Ability to be cleared to special access programs.
+ IAT Level II certification (ex. Security+ CE) or greater.
+ Experience with Linux OS.
**Preferred Qualifications:**
+ Bachelor of Science or other technical based degree.
+ DoD Top Secret clearance.
+ Experience operating under and managing systems within NISPOM Chapter 8, DCID 6/3-ICD 503, RMF, STIG, JAFAN, or JSIG information system environments
+ Experience installing, configuring, and maintaining computer hardware in a networked enterprise environment
+ Linux/Windows Server management experience
+ Networking experience, including subnets, routing and VLANs; Cisco experience and/or certification
+ Solid understanding of Enterprise-level storage concepts
+ Windows Active Directory, LDAP, VMWare, and knowledge of SAN storage systems
+ Linux Operating System experience and/or certification for current versions
+ VMWare (current version) experience
+ Red Hat Satellite Server, Ansible experience
+ Familiarity of enterprise backup solutions and disaster recovery methodologies
ESCSO
ESSysAdmin
\#LI-COR
**Salary Range:** $68,500 - $102,700
**Salary Range 2:** $84,200 - $126,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10147019FloridaFLLinux Systems Administrator 2/3 - SecretNone834A308225CD43B88F53F3CCCA8AC5CFhttp://northropgrumman-veterans.jobs/834A308225CD43B88F53F3CCCA8AC5CF23Fort MeadeNorthrop GrummanUnited StatesUSA2024-03-27 06:15:49At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is hiring! Earn up to a $20,000 sign on bonus if you are hired against specific TS/SCI Polygraph positions.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators, who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we will support yours of expanding your personal network and developing skills, whether you are new to the field or an industry thought-leader. At Northrop Grumman, you will have the resources, support, and team to do some of the best work of your career.
Description:
Designs, develops, documents, tests and debugs applications software and systems that contain logical and mathematical solutions. Conducts multidisciplinary research and collaborates with equipment designers and/or hardware engineers in the planning, design, development, and utilization of electronic data processing systems for product and commercial software. Determines computer user needs; analyzes system capabilities to resolve problems on program intent, output requirements, input data acquisition, programming techniques and controls; prepares operating instructions; designs and develops compilers and assemblers, utility programs, and operating systems. Ensures software standards are met.
Basic Qualifications:
-A current and active TS/SCI Clearance with Polygraph is required.
-A basic understanding on how to design, develop, document, test and debug applications software and systems that contain logical and mathematical solutions.
-A Bachelor's Degree in an applicable field is required with 2+ years of software engineering experience
-Four (4) years of Software Development experience can be substituted for a Bachelor's Degree.
Preferred Qualifications:
-Experience in Java programming language is preferred.
-An ability to work in a team environment and individually is preferred.
-An ability to determine computer user needs and analyze system capabilities to resolve problems
**Salary Range:** $95,900 - $143,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fort Meade, MDR10116494MarylandMDCyber Software EngineerNone87599803554D461B9543C0DB312E3333http://northropgrumman-veterans.jobs/87599803554D461B9543C0DB312E333323Annapolis JunctionNorthrop GrummanUnited StatesUSA2024-03-27 06:15:49At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is hiring! Earn up to a $20,000 sign on bonus if you are hired against specific TS/SCI Polygraph positions.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators, who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we will support yours of expanding your personal network and developing skills, whether you are new to the field or an industry thought-leader. At Northrop Grumman, you will have the resources, support, and team to do some of the best work of your career.
The Sensing & Secured Solutions Teams are hiring! We are seeking an experienced Sr. Java Developer to work as a member of an Agile team developing a high-visibility, mission critical component of a large system. The new team member will be working with DevOps, containerization, deployment to and operation on Linux, API development, requirements development, and model-based systems engineering.
The Sr. Java Developer will develop, maintain, and enhance complex and diverse software systems (e.g. processing-intensive analytics, novel algorithm development, manipulation of extremely large data sets, real-time systems, and business management information systems) based upon documented requirements. This person will be responsible for working individually or as part of a team to review and test software components for adherence to the design requirements and documents test results. The team is seeking a talented engineer who resolves software problem reports, utilizes software development and software design methodologies appropriate to the development and software design methodologies appropriate to the development environment. The successful candidate shall have experience with creating specific input to the software components of system design to include hardware/software tradeoffs, software reuse, use of Commercial Off-the-Shelf (COTS)/ Government Off-the Shelf (GOTS) in place of new development, and requirements analysis and synthesis from system level to individual software components.
Basic Qualifications:
- 7 years of experience as a Software Engineer in programs and contracts of similar scope, type, and complexity with a bachelor's degree in Computer Science or related discipline from an accredited college or university. - 11 years of experience as a SWE in programs and contracts of similar scope, type, and complexity with no degree can also be accepted.
- A current and active TS/SCI Clearance with Polygraph is required.
- An ability to develop, maintain, and enhance complex and diverse software systems.
- This position requires past experience in Java Software Development
Preferred Qualifications:
- An ability to analyze user requirements to derive software design and performance requirements
- An ability to design and code new software or modify existing software to add new features
- Experience with debugging existing software and correcting defects
- An ability to integrate existing software into new or modified systems or operating environments
- Experience with developing simple data queries for existing or proposed databases or data repositories
- Assisting with developing and executing test procedures for software components
MSAJJB
**Salary Range:** $111,800 - $177,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Annapolis Junction, MDR10111012MarylandMDPrincipal Java Software Engineer (SWE1) **Up to 20k sign-on bonus**NoneAD126EB764E2454A80C0BBC390721988http://northropgrumman-veterans.jobs/AD126EB764E2454A80C0BBC39072198823MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:49At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
The Northrop Grumman Aeronautics Systems (NGAS) is seeking **a Software Engineer/ Principal Software Engineer- Displays** to bolster the capabilities of our Core Mission Systems Software (CMSS) team. This group consists of well-accomplished professionals hailing from various backgrounds and expertise, is tasked with taking charge over software development geared towards sensor command as well as control management. The location for this opportunity is **Melbourne, Florida** and will be primarily based within our office spaces to support program and business needs. Operating on our 9/80 work schedule meaning you will get every Friday off. The position has NO remote or Hybrid work available.
We offer flexible work arrangements, great learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
The **Software Engineer/ Principal Software Engineer- Displays** will develop, modify, and maintain customized or standardized Open Mission Systems applications using software engineering best practices and standards. They will develop this software implementing principles of the Agile software development life cycle. This will include requirements development, modeling and design, application development, unit testing, and requirements testing. The Engineer Software could also gain experience in disciplines like integration, formal system testing, release, installation, and maintenance.
**This position may be filled by either a Software Engineer - Displays or a Principal Software Engineer - Displays level based on the qualifications listed. **
**Basic Qualifications for Software Engineer - Displays:**
+ Bachelor's Degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited University with 2 years' relevant experience in software engineering; OR Master's Degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited University.
+ Demonstrates understanding of basic Object Oriented (OO) programming principles in C++.
+ Can provide time and memory complexity of basic algorithms.
+ Ability to obtain and maintain a DoD Secret Clearance
+ Ability to Obtain and maintain Special Program Access Clearance
**Basic Qualifications for Principal Software Engineer - Displays:**
+ Bachelor's Degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited University with 5 years' experience in software engineering; OR Master's degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited University with 3 years' experience in software engineering; OR PhD degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited University.
+ Demonstrates understanding of basic Object Oriented (OO) programming principles in C++.
+ Can provide time and memory complexity of basic algorithms.
+ Ability to obtain and maintain a DoD Secret Clearance
+ Ability to Obtain and maintain Special Program Access Clearance
**Preferred Qualifications:**
+ Bachelor's or Master's degree in Computer Engineering, Computer Science, or Electrical Engineering
+ Experience developing HMI software
+ Display or game framework experience (Qt, OpenGL, Unity, etc.)
+ Experience developing sensor (Radar, ESM or EW, EO/IR, etc.) related software - expressly command and control of sensors
+ Experience working within an Agile software development environment.
+ Familiarity with software development tools such as Git, CMake, and Visual Studio
+ Knowledge of Linux systems and basic commands
+ Familiarity with software requirements tools such as DOORS
+ Familiarity with modeling tools, such as Cameo and Rhapsody
+ Experience developing software with Python and/or Java.
+ Experience developing safety critical code.
**Salary Range:** $75,300 - $94,100
**Salary Range 2:** $92,600 - $139,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10145191FloridaFLEngineer Software / Principal Software Engineer - DisplaysNoneBB74F55B5BFD4933BC5AB54D09ED030Bhttp://northropgrumman-veterans.jobs/BB74F55B5BFD4933BC5AB54D09ED030B23DullesNorthrop GrummanUnited StatesUSA2024-03-27 06:15:49At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come.
Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon.
We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
Northrop Grumman's CIO sector is seeking a well-qualified Linux Administrator to join its dynamic team of technical professionals in Dulles, VA. Please note that the selected candidate will be required to work on-site, full-time, at our Dulles, VA campus.
Responsibilities will include (but not be limited to) the following:
+ Perform as primary Linux Systems Administrator for a classified government contract.
+ Install, upgrade, and maintain smooth operation of multi-user Linux based systems, including coordination with network, software, and system engineers, PC desktop technicians, project managers, end users, and customer and IT management.
+ Communicate effectively at all levels of the organization, with internal and external customers, in written and verbal format.
+ Support multiple networks while ensuring necessary administration tasks are completed and directing others as necessary.
+ Analyze internal and external customer requirements and determine equipment and software requirements for solutions to problems by means of automated systems.
+ Recommend and implement system enhancements that will improve the performance and reliability of the system to include upgrading/patching, monitoring, problem resolution, and configuration management.
+ Provide backup and recovery services, manage file systems, and disk space, and manage virus protection on a routine basis.
+ Create and maintain user and computer accounts and modify file permissions and security access lists.
+ Test new releases of products to ensure compatibility and minimize user impact.
+ Develop and document technical processes and procedures as needed.
+ Make recommendations to purchase hardware, software, and system components.
+ Interact, meet, discuss, and troubleshoot issues with vendors; evaluate vendor products, services, and suggestions.
+ Adhere to strict Information Systems security guidelines in all cases.
+ Ensure security of systems and data, to include maintaining security audit and logging information on all classified networked and standalone computers as directed by the Information Systems Security Manager (ISSM).
+ Prepare security documentation for input to Computer Security.
+ Report project status as required for all recurring and non-recurring efforts.
+ Work under minimal direction and independently determine and develop approach to solutions.
+ Perform other related duties as assigned.
**Basic Qualifications** :
+ Associate's degree and 7 years of experience, or Bachelor's degree and 5 years of experience, or a Master's degree and 3 years of experience; a High School diploma or equivalent with 9 years of experience may be considered in lieu of a completed degree.
+ **IAT Level II certification (ex: Security+ CE)** or greater; maintaining the required certification will be a condition of continued employment.
+ **Active DoD Top Secret security clearance;** maintaining the required security clearance will be a condition of continued employment.
+ The selected candidate will be required to obtain a Special Access Programs (SAP/SAR) clearance within a reasonable period, as determined by the company, and also by customer and/or program requirements; maintaining a SAP/SAR clearance will be a condition of continued employment.
+ Linux Workstation and Server management experience, preferably Red Hat Enterprise Linux (RHEL).
+ Experience operating under and managing systems within NISPOM Chapter 8, DCID 6/3-ICD 503, RMF, STIG, JAFAN, or JSIG information system environments.
**Preferred Qualifications** :
+ DoD 8570 Certification in a primary OS (Windows, Linux, Cisco).
+ Understanding of basic networking, including subnets, routing, and VLANs; Cisco experience and/or certification.
+ Windows server management experience.
+ Windows AD, LDAP, VMWare, and knowledge of SAN storage systems.
+ Red Hat certification for current version.
+ VMWare experience with current version.
+ Knowledge of environmental monitoring tools (HBSS/Solar Winds/Splunk).
+ Rudimentary Scripting experience.
+ Experience with the creation and deployment of system images in an enterprise environment.
+ Experience with Certification & Accreditation process.
ESSysAdmin
**Salary Range:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Dulles, VAR10132337VirginiaVAPrincipal Linux Systems AdministratorNoneC3ADD87F3B474F7CA21E5D38490651A0http://northropgrumman-veterans.jobs/C3ADD87F3B474F7CA21E5D38490651A023Rolling MeadowsNorthrop GrummanUnited StatesUSA2024-03-27 06:15:49At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
We are looking for you to join our team as a Principal Field Engineer or Sr. Principal Field Engineer based out of our overseas location in Adelaide, Australia.
What You'll get to Do:
• Be part of the LAIRCM Australia Support and Repair (LASR) program overseas. This is an exciting and dynamic opportunity to work across a multi-disciplinary team in a fast-paced hands-on environment.
• Be part of the In-Country Repair Facility (ICRF) team that performs hands on technical tasks at the operational, intermediate, and depot maintenance levels for the AN/AAQ-24 Large Aircraft Infrared Countermeasures (LAIRCM) system.
• Join a Field Engineering team supporting maintenance, operation, and training of a critical countermeasures system utilized by several aircraft platforms and squadrons at military installations across Australia.
• Work closely with a diverse Integrated Product Teams (IPT) as well as provide guidance to manufacturing, engineering, logistics, and operations staff.
• Serve as the technical focal point onsite performing system analysis, rework, repair, integration, and test at the ICRF as well as on aircraft at military installations.
• Work within a diverse team in a dynamic environment.
• Work closely with the domestic and foreign military/government customers as well as internal Northrop Grumman engineering teams.
• Assist and develop proficiency with performance of other engineering and operational duties as required.
This position may be filled as a Principal Field Engineer or Sr. Principal Field Engineer.
Basic Qualifications Principal Field Engineer:
• 5 years of experience with a Bachelors in a STEM field; 3 years with Masters; 0 years with PhD. 4 years additional experience may be considered in lieu of a Bachelors degree.
• Knowledge, Skills, and Ability: Applies extensive technical expertise, and has full knowledge of other related disciplines. Able to communicate effectively and clearly present technical approaches and findings.
• Problem Solving: Develop technical solutions to complex problems which require the regular use of ingenuity and creativity.
• Discretion/Latitude: Work is performed without appreciable direction. Exercises considerable latitude in determining technical objectives of assignment. Completed work is reviewed from a relatively long- term perspective, for desired results.
• Impact: Guides the successful completion of major programs and may function in a project leadership role. Erroneous decisions or recommendations would typically result in failure to achieve major organizational objectives.
• Liaison: Represents the organization as the prime technical contact on contracts and projects. Interacts with senior external personnel on significant technical matters often requiring coordination between organizations.
• Conducts technical analysis of product implementations, modifications, and enhancements to product in accordance with specific customer specifications and implementations.
• Troubleshoots technical problems and issues, determines technical solution in accordance with product and customer specifications, and recommends actions to company or customer representatives for coordinative product solution.
• Assesses product needs in accordance with customer specifications.
• Conducts technical training and product briefing with customers, vendors, and company representatives.
• Acts as local on-site representative to customers organization.
• At minimum, must have an active secret security clearance.
Basic Qualifications Sr. Principal Field Engineer :
• 9 years of experience with a Bachelors in a STEM field; 7 years with Masters; 4 years with PhD. 4 years additional experience may be considered in lieu of a Bachelors degree.
• Knowledge, Skills, and Ability: Applies extensive technical expertise, and has full knowledge of other related disciplines. Able to communicate effectively and clearly present technical approaches and findings.
• Problem Solving: Develop technical solutions to complex problems which require the regular use of ingenuity and creativity.
• Discretion/Latitude: Work is performed without appreciable direction. Exercises considerable latitude in determining technical objectives of assignment. Completed work is reviewed from a relatively long- term perspective, for desired results.
• Impact: Guides the successful completion of major programs and may function in a project leadership role. Erroneous decisions or recommendations would typically result in failure to achieve major organizational objectives.
• Liaison: Represents the organization as the prime technical contact on contracts and projects. Interacts with senior external personnel on significant technical matters often requiring coordination between organizations.
• Conducts technical analysis of product implementations, modifications, and enhancements to product in accordance with specific customer specifications and implementations.
• Troubleshoots technical problems and issues, determines technical solution in accordance with product and customer specifications, and recommends actions to company or customer representatives for coordinative product solution.
• Assesses product needs in accordance with customer specifications.
• Conducts technical training and product briefing with customers, vendors, and company representatives.
• Acts as local on-site representative to customers organization.
• At minimum, must have an active secret security clearance.
Preferred Qualifications:
• Experience working on a flight line with military aircraft.
• Experience living abroad and working with foreign militaries.
• Experience training military personnel on installation, maintenance, and operation of avionics systems.
• Experience working in a laboratory environment.
• Experience working intermediate and depot level maintenance on Line Replaceable Units (LRU).
• Experience updating test software.
• Experience updating test hardware.
• Experience maintaining and calibrating test stations and Class-B components.
• Experience working with gaseous and liquid nitrogen substances.
**Salary Range:** $88,700 - $133,100
**Salary Range 2:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Rolling Meadows, ILR10154270IllinoisILPrincipal/Sr Principal Engineer FieldNoneDCF1F4E9507B465D8365575CD21BE102http://northropgrumman-veterans.jobs/DCF1F4E9507B465D8365575CD21BE10223Annapolis JunctionNorthrop GrummanUnited StatesUSA2024-03-27 06:15:49At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is hiring! Earn up to a $20,000 sign on bonus if you are hired against specific TS/SCI Polygraph positions.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators, who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we will support yours of expanding your personal network and developing skills, whether you are new to the field or an industry thought-leader. At Northrop Grumman, you will have the resources, support, and team to do some of the best work of your career.
Description:
The Software Engineer develops, maintains, and enhances complex and diverse software systems (e.g., processing-intensive analytics, novel algorithm development, manipulation of extremely large data sets, real-time systems, and business management information systems) based upon documented requirements. Works individually or as part of a team. Reviews and tests software components for adherence to the design requirements and documents test results. Resolves software problem reports. Utilizes software development and software design methodologies appropriate to the development environment. Provides specific input to the software components of system design to include hardware/software trade-offs, software reuse, use of Commercial Off-the-shelf (COTS)/Government Off-the-shelf (GOTS) in place of new development, and requirements analysis and synthesis from system level to individual software components. The job duties may include some of the following tasks: • Analyze user requirement s to derive software design and performance requirements • Design and code new software or modify existing software to add new features • Debug existing software and correct defects • Integrate existing software into new or modified systems or operating environments • Develop simple data queries for existing or proposed databases or data repositories • Provide recommendations for improving documentation and software development process standards • Develop or implement algorithms to meet or exceed system performance and functional standards • Assist with developing and executing test procedures for software components • Write or review software and system documentation • Develop software solutions by analyzing system performance standards, confer with users or system engineers; analyze systems flow, data usage and work processes; and investigate problem areas • Serve as team lead at the level appropriate to the software development process being u sed on any particular project • Modify existing software to correct errors, to adapt to new hardware, or to improve its performance • Design, develop and modify software systems, using scientific analysis and mathematical models to predict and measure outcome and consequences of design • Design or implement complex database or data repository interfaces/queries • Oversee one or more software development teams and ensure the work is completed in accordance with the constraints of the software development process being used on any particular project • Design or implement complex algorithms requiring adherence to strict timing, system resource, or interface constraints; Perform quality control on team products • Confer with system engineers and hardware engineers to derive software requirements and to obtain information on project limitations and capabilities, performance requirements and interfaces • Coordinate software system installation and monitor equipment functioning to ensure operational specifications are met • Implement recommendations for improving documentation and software development process standards
Basic Qualifications:
- A current and active TS/SCI Clearance with Polygraph is required to start.
- Nine (9) years experience as a SWE in programs and contracts of similar scope, type and complexity is required.
- Bachelor's degree in Computer Science or related discipline from an accredited college or university is required. Four (4) years of additional SWE experience on projects with similar software processes may be substituted for a bachelor's degree.
Preferred Qualifications:
- Experience with Linux and Windows
- Experience analyzing functional requirements and conducting research in order to develop test cases.
- Experience developing/implementing test plans, test procedures, test reports.
Preferred Qualifications:
Experience working in a scaled Agile environment is preferred.
Previous Product Owner and/or Scrum Master experience is preferred.
Experience mentoring engineering performers is preferred.
**Salary Range:** $146,300 - $219,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Annapolis Junction, MDR10143957MarylandMDSr Principal Cyber Software Engineer (EC)NoneE2B9038EF07C4E4B9173FCF4F06146B3http://northropgrumman-veterans.jobs/E2B9038EF07C4E4B9173FCF4F06146B323Beale AFBNorthrop GrummanUnited StatesUSA2024-03-27 06:15:49At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Cybersecurity Leaders, We Want You!**
Cyber assets everywhere are under siege from a wide spectrum of threats. Almost daily, these threats grow in sophistication, breadth and speed.
Support our entire enterprise and build the networks, staff the teams, and develop the processes that will keep us defining what's possible. We have opportunities across our enterprise with 90,000 team members throughout the world. The only limit to your growth is your ambition and drive. We want to empower you to bring your best, with resources, support, and talented team members that will launch your career.
At Northrop Grumman, we take a holistic approach to cybersecurity, looking at the whole cyber landscape of people, processes and technology and the whole security realm of offense, defense, and exploitation. Thought leadership demands nothing less.
If you are an expert with classified computers within the Department of Defense (DoD) and Intelligence Community computing environments, Northrop Grumman Corporation has fantastic opportunities for your career growth.
We are seeking experienced **Information Systems Security Professionals** across the country to support information systems lifecycle activities. The selected candidate will be required to work on-site, full-time at our Beale Air Force Base, CA location. The individual will perform the following duties on a day-to-day basis in support of the program:
+ Lead and train a team of Information Systems Security Managers (ISSMs) and Information Systems Security Officers (ISSOs).
+ Interface with internal and external customers at all levels, and will be required to interpret and provide guidance on company and customer cybersecurity related governance documents.
+ Perform assessments of systems and networks within the networking environment or enclave and identify where those systems and networks deviate from acceptable configurations, enclave policy, or local policy. This is achieved through passive evaluations such as compliance audits and active evaluations such as vulnerability assessments.
+ Establishes strict program control processes to ensure mitigation of risks and supports obtaining certification and accreditation of systems. Includes support of process, analysis, coordination, security certification test, security documentation, as well as investigations, software research, hardware introduction and release, emerging technology research inspections and periodic audits.
+ Implement the required government RMF policy (i.e. ICD 503, JSIG), make recommendations on process tailoring, participate in and document process activities.
+ Perform analyses to validate established security controls and to recommend additional security requirements and safeguards.
+ Support the formal Security Test and Evaluation (ST&E) required by each government accrediting authority through pre-test preparations, participation in the tests, analysis of the results and preparation of required reports.
+ Coordinate all associated Assessment and Authorization activities, which includes all RMF Body Of Evidence (BOE) documentation: System Security Plan (SSP), Security Controls Traceability Matrix (SCTM), Control Family Security Operating Plans (SOPs), Continuous Monitoring (ConMon) Plan, Plan of Actions and Milestones (POA&M), etc.
+ Ensure recurring cybersecurity SOW is completed to include auditing, configuration management, user training, POA&M updates, ConMon checklists, Self-Inspections, etc.
This is an Information Systems Security Manager (ISSM) level opportunity. If you are ready to solve complex problems in a dynamic environment, apply today!
Note: Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
**Basic Qualifications:**
+ Bachelor's degree and 14 years of experience OR a Master's degree and 12 years of experience is required
+ Minimum 10 years of experience performing information systems security duties
+ Must have a DoD 8570 IAM Level III Certification (examples: CCISO, CISSP, CISM, or GSLC)
+ DOD Top Secret security clearance with a closed investigation date completed within the last 6 years OR must be enrolled in the DOD Continuous Evaluation Program (CEP)
+ Must have the ability to obtain, and maintain, access to Special Programs as condition of continued employment
**Preferred Qualifications:**
+ The ideal candidate will have a Master's degree in Cybersecurity, a CISSP, and 12 years of ISSO/ISSM experience in a classified environment
+ Extensive experience with JSIG and DCID 503
+ Prior experience leading a team of ISSOs/ISSMs
+ Working knowledge of ACAS or NESSUS, SCAP, DISA STIGs, Splunk
+ Current Top Secret with SAP/SAR access level is highly desirable
ESCyberInfoSec
ESCSO
**Salary Range:** $157,500 - $236,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Beale Afb, CAR10154449CaliforniaCAStaff Classified Cybersecurity Analyst - Top SecretNoneE7C713ED15D54EA8A1CE0B7E4BB6FB64http://northropgrumman-veterans.jobs/E7C713ED15D54EA8A1CE0B7E4BB6FB6423Fort CarsonNorthrop GrummanUnited StatesUSA2024-03-27 06:15:49At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems sector (NGDS) is seeking a **Mission Command Training Team (MCTT) Manager 2** to join our growing team of diverse professionals supporting our III Corps Mission Command Training program at **Fort Carson in Colorado Springs, Colorado (FCCO).** The selected candidate will provide consulting expertise to military or defense clients as the FCCO Mission Training Complex (MTC). The MCTT Lead will serve as the Collective Training Team Leader and Mission Command Mentor-Trainer.
**Responsibilities** :
+ Coaches, mentors, and trains Army Battalion, Brigade, and Division staffs in the art and science of Mission Command
+ Plans, coordinates, prepares, and conducts battle staff exercises (STAFFEX), Military Decision-Making Process (MDMP) workshops, command post exercises (CPXs), staff / Tactical Command Post proficiency training, and other supporting events at the battalion, brigade, and division level
+ Develops home station training support packages and supporting scenarios that are relevant to the operating environment of the training unit, which will focus on the art and science of mission command
+ Provides over-the-shoulder and observer controller/trainer support to the training unit, battalion through division level, throughout the exercise life cycle (ELC) from the initial planning conference through the final After-Action Review (AAR)
+ As required, serves as High Command (HICOM) and/or Exercise Control (EXCON) Officer in Charge (OIC) employing relevant scripted and dynamic master scenario event list injects in a fluid tactical environment and in accordance with unit training objectives
+ Is fully conversant in the Military Decision-Making Process (MDMP) and how to use the MDMP to develop appropriate operations orders/fragmentary orders
+ Coaches the unit Executive Officer (XO) / Chief of Staff in how to direct and synchronize the staff during planning and execution; conversant in the Rapid Decision-Making and Synchronization Process (RDSP)
+ Familiar with site-wide operations and can act as the deputy site lead when the site lead is not present or available
**Basic Qualifications** :
+ One of the following:
+ Bachelor' degree with a minimum of 10 years of professional and/or military leadership experience
+ Master's degree with a minimum of 8 years of professional and/or military leadership experience
+ Active U.S. Department of Defense (DoD) Secret security clearance with the ability to obtain a Top Secret (TS) clearance.
+ Military Education Level 4 (MEL 4) / Intermediate level Education (ILE) or service equivalent
+ Former battalion (or higher) level Operations Officer (S3) or XO; alternately, a minimum of 3 years' experience as a Division-level Current Operations, Future Operations, or Future Plans officer
+ Working knowledge of Army Mission Command information Systems (MCIS) such as the Command Post Computing Environment (CPCE), Command Post of the Future (CPoF), and the Joint Battle Command-Platform (JBC-P) / Joint Capabilities Release (JCR)
+ Familiarity with the tenets of Multi-Domain Operations
+ Ability to correspond and effectively communicate with senior military leaders
+ Must be able to work on-site at Fort Carson in Colorado Springs, Colorado
+ Must be able to travel as needed (up to 10% of the time)
**Preferred Qualifications** :
+ Former Armor, Infantry, Field Artillery, Engineer, or Aviation Battalion Commander; alternately, former Brigade Commander (of any type)
+ MEL 1 (Senior Service College Graduate)
+ Former instructor at the Maneuver or the Mission Command Center of Excellence
+ Former Combat Training Center (CTC) Observer/Controller-Trainer
+ Working knowledge of MSEL development and providing an uncooperative, challenging OPFOR during command post exercises
+ Working knowledge of and experience with Army simulation systems (i.e., Intelligence Electronic Warfare Tactical Proficiency Trainer; Joint Land Component Constructive Training Capability; War Simulation; Live, Virtual, Constructive-Integrating Architecture)
**Salary Range:** $91,800 - $137,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fort Carson, COR10092911ColoradoCOMission Command Training Team (MCTT) Manager 2NoneEFD20405BF7E4BE7A7C0D34367047093http://northropgrumman-veterans.jobs/EFD20405BF7E4BE7A7C0D3436704709323El SegundoNorthrop GrummanUnited StatesUSA2024-03-27 06:15:49At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today. Northrop Grumman Aeronautics Systems has an opening for a Senior Principal Electromechanical Engineer to join our team of qualified, diverse individuals. This position is located in El Segundo, CA.
**Essential Functions:**
Candidate will be responsible for the concept, design, fabrication drawings, inspection requirements, procurement requirements, verification requirements, and fabrication support for tooling and support equipment for aerospace applications. Candidate will also be responsible for engineering drawing and related document examination for soundness, efficiency, and simplicity in design; completeness, clarity, and dimensional accuracy; correctness of materials and processes; conformity to design/drafting standards, design specifications, and practicability; and economy of fabrication, assembly, and installation.
**Duties and Responsibilities:**
• Interface with review team to assure customer satisfaction with design
• Interface with engineers to understand mechanical drawings and make appropriate corrections.
• Work with internal Customers to concept needed support equipment for new and existing programs
• Developing support equipment concepts and develop to final models with model-based dimensioning and tolerancing
• Review engineering drawings and designs for manufacturability
• Support vendors during fabrication
• Verify design configuration for drawing compliance, including fit, form and function
• Support continuous improvement
• Evaluate tool designs for compliance to industry dimension and tolerancing standards (ASME Y14.5M-2009).
• Experience and familiarity with machine ship and weld shop practices
**Basic Qualifications**
• Bachelor of Science degree in a STEM (Science, Technology, Engineering and Math) discipline and 9+ years of experience; 7+ years with Masters or 5 with a PhD.
• Must have an active DoD Secret (or higher) clearance
**Preferred Qualifications**
• Experience in checking and standardization of models and drawings
• Experience in design automation via macros and power copies
• Ability to obtain Top Secret Clearance and SCI
• Experience in weapons development programs for the Army or Navy
• Familiarity with environmental specifications for weapon systems (e.g. ATPD-2404, MIL-STD-810)
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.El Segundo, CAR10137696CaliforniaCASenior Principal Engineer ElectromechanicalNoneF2FE71E5C17E4F63BA173D612E1C158Ehttp://northropgrumman-veterans.jobs/F2FE71E5C17E4F63BA173D612E1C158E23RoyNorthrop GrummanUnited StatesUSA2024-03-27 06:15:49At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Command and Launch (C&L) Systems Engineering and Integration (SE&I) Milestone Planning Manager 2** for IFC 1.0 or 2.0. This leadership role will support the Sentinel program. This position is located in **Roy, UT** .
**What You'll Get To Do:**
As a Manager of the Milestone Planning team, you will be responsible for leading systems engineering execution as the main focal point for C&L program leadership and product team integration into the IFC 1.0 or 2.0 program milestone, which demonstrates system design and performance during the EMD contract. At a minimum, you will be responsible for the oversight and on-schedule development of the C&L integrated functional capability architecture model, use cases, requirements, and performance analysis necessary for major milestone demonstration event success. You will lead the implementation of the processes dictating requirements, analysis, architecture, and verification alignment to major program milestones. You will work with both the Program and C&L Product Development Teams to develop and mature systems engineering content and artifacts using Model-Based Systems Engineering (MBSE) tools and methods.
**Specific duties to include, but are not limited to the following:**
+ Act as the C&L liaison for the major program event (MPE)
+ Ensure major projects, program schedules and plans are horizontally and vertically integrated Develop metrics to track technical development progress to meeting major program milestones
+ Track plans and schedules, perform risk analysis, and resolve critical path challenges
+ Ensure program team understands and adheres to contract scope and manages change through control board activities.
+ Responsible for the management, oversight and reporting of budget and schedule for IFC 1.0 or 2.0
+ Coordinate and communicate technical execution and risks/mitigation to cross-discipline teams, government customers, and program leadership
+ Ensure the successful execution of technical reviews and readiness for IFC 1.0 or 2.0
+ Develop and adhere to budget baselines utilizing Earned Value Management (EVM) or similar cost & schedule control methodologies and tools
+ Develop and adhere to master plans and schedules
+ Conduct thorough risk & opportunity management practices including identification, mitigation, and realization
**Position Benefits**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**You'll Bring These Qualifications:**
+ Bachelors in STEM (Science, Technology, Engineering, Mathematics) and 6 years of related experience or Masters in STEM and 4 years of related experience
+ At least 1 year experience leading a project and/or driving performance against schedule
+ Must be a US Citizen and have the ability to obtain and maintain a DOD Secret Clearance
+ Must be able to be successfully screened for Enhanced Security Clearances
**These Qualifications Would be Nice to Have:**
+ Active Secret/Top Secret clearance with investigation in the last 6 years
+ Experience leading interdisciplinary major program event efforts on defense or aerospace programs
+ A minimum of 2 years of related experience leading a team of Systems Engineers
+ Ability to lead a team of approximately 3-7 engineers
+ Strong Systems Engineering skills (3+ years)
+ Experience in milestone planning and execution to completion (e.g. Critical Design Reviews, Test Readiness Reviews, etc.)
+ Experience with requirement development and management
+ Excellent leadership and communication skills with the ability to brief Segment, Program, and Customer leadership
+ Experience working across multiple functional organizations (Engineering, Manufacturing, Purchasing, Field Operations, etc.)
+ Experience in Control Account Management (CAM)
+ Experience in technical leadership, systems engineering, program management, and design integration
+ Experience with ICBM programs
+ Prior experience interfacing with prime contractor and USAF leadership personnel.
+ MBSE experience (3+ years)
+ Experience with MBSE Tools such as Cameo, DOORS, Rhapsody (3+ years)
+ Active Sentinel special access clearance (PAR)
+ Experience with Agile Development
+ Experience with DoDAF standards and methods
+ Experience with complex system development on large programs
+ Proven performance as an Engineering Leader in all phases of acquisition, design, integration, and execution. Candidate will require ability to rapidly assess and lend guidance to projects assigned to the organizational group as well as demonstrated mentoring and guidance to engineers.
\#GBSDLeadership
**Salary Range:** $131,200 - $196,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10140666UtahUTSentinel Command and Launch (C&L) Systems Engineering and Integration (SE&I) IFC Milestone Planning Manager 2 (C3SS) (11730 11731)NoneD221EF8DE709454A8FD845687831DCD4http://northropgrumman-veterans.jobs/D221EF8DE709454A8FD845687831DCD423RoyNorthrop GrummanUnited StatesUSA2024-03-27 06:15:49At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day now and for the future. Explore your future and launch your career today.
Northrop Grumman's Strategic Deterrent Systems division is seeking to hire a Command & Launch Lead Architect to join the Command and Launch team. This position will be located in either **Roy, UT, Colorado Springs, CO, Manhattan Beach, CA or Huntsville, AL** and will support the Sentinel (GBSD) program. Learn more about the Sentinel (GBSD) program here . Northrop Grumman supports the Air Force's sustainment, development, production and deployment of hardware and system modifications for Intercontinental Ballistic Missile (ICBM) Ground and Airborne Launch Control Systems, Launch Facilities and associated infrastructure.
**What you will get to do:**
The C&L Lead Architect has the responsibility of providing ground system architecture technical direction and technical oversight of the architecture implementation to all of C&L. The selected candidate will also be expected to lead the C&L architects' team which includes hardware, data, network, MBSE, and cyber architects, to resolution of technical challenges related to architecting a distributed, flexible, modular, open architecture ground system to meet customer requirements. The C&L Lead Architect is also one of the primary interfaces to the SPO NXC Lead Engineer for C&L. The decisions of the C&L Lead Architect impact the success of the C&L design to meeting customer and stakeholder needs.
The position includes the following duties, responsibilities, and qualifications:
+ Establishes, maintains and communicates the design vision for the GBSD command, control, and communications (C3) infrastructure.
+ Defines overarching design principals.
+ Ensures compliance with and implementation of customer-mandated techniques and DoD and Industry best practices, including MOSA, reuse, and flexible deployment.
+ Develops implementation guidelines and concepts to apply principals to design products.
+ Establishes design priorities to accomplish intended design approach.
+ Communicates C&L design vision downward to C&L development teams, across to peer segment teams, and upward to program leadership and Customer personnel.
+ Guides the development of all aspects of the GBSD C3 architecture and design.
+ Leads the GBSD C&L architects' team, consisting of key functional SMEs representing key functional domains (software, hardware, communications, information, cyber)
+ Provides overall and detailed guidance on the development and association of the functional, logical, deployment and information architectures.
+ Develops operational concepts and supporting architectural features.
+ Ensures consistency and completeness between design architectures and allocated requirements.
+ Identifies architectural alternatives and defines and leads relevant trade studies.
+ Provides design cohesion and consistency across the GBSD C3 development process.
+ Ensures compliance with design vision.
+ Supports definition and decomposition of key capabilities, performance requirements and interfaces.
+ Ensures design consistency across the C3 program.
+ Supports risk assessment and management efforts across C&L
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
- Medical, Dental & Vision coverage
- 401k
- Educational Assistance
- Life Insurance
- Employee Assistance Programs & Work/Life Solutions
- Paid Time Off
- Health & Wellness Resources
- Employee Discounts
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
\#GBSDsystems
**Basic Qualifications:**
+ Bachelor's degree in science with 20+ years of experience; or Master's degree with 18+ years of experience; or PhD with 15+ years of experience
+ Active Secret Clearance investigated within the last 6 years.
+ Ability to obtain Special Program Access.
+ 2 years of experience with distributed ground command and control systems.
**Preferred Qualifications:**
+ TS/SCI with PAR Clearances
+ Master's Degree in a relevant technical specialty
+ Ideal candidate will have experience in a technical leadership or management role on team's 100+ individuals.
+ Experience with ICBMs, Missile Programs, Command and Control, or Communications related programs.
+ Experience with Cybersecurity of an Information Technology based system.
+ Demonstrated experience in making sound decisions in uncertain and difficult situations.
**Salary Range:** $175,600 - $254,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10154440UtahUTSentinel (GBSD) - Command & Launch Lead Architect - 2090-3NoneDE428B48EB31425BB5FAE7C3D1F3AFD3http://northropgrumman-veterans.jobs/DE428B48EB31425BB5FAE7C3D1F3AFD323RoyNorthrop GrummanUnited StatesUSA2024-03-27 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking **Manager Software Engineering 2 - 11658** to join the Strategic Deterrent Systems Division. This position will be located in **Huntsville, Alabama** or **Roy, Utah** and will support the Sentinel program.
This position is a dual-hat position requiring the candidate to perform functional management activities as well as the individual's current program responsibilities on program. It is expected that the Functional management role will comprise 10% to 20% of the candidate's time.
What You'll Get To Do:
• Responsible for assessing staffing needs, current as well as projected and working with the respective team leads to address those needs.
• Responsible for supporting recruitment activities including the creation of requisitions, conducting interviews, and approving offers.
• Work with program leaders in establishing personal, program, and functional goals as well as performing performance evaluations and merit adjustments for subordinates.
• Provide their subordinates with career development recommendations, mentoring, discipline, as necessary.
• Acquire the necessary computers, equipment and tools to enable their subordinates to perform their work.
• Generate training plans based on the program and their subordinates' needs.
• Provide their group with regular flow down of company and organizational status and appropriately represent and be a spokesperson for the organization to their group, assigned project, and the company.
• Set and promote high standards for engineering development
• Foster a working environment which reinforces and drives accountability to fundamental Northrop Grumman Values focused on integrity, quality, shared success, and innovation.
• Partner with engineering and program management peers to assure a balanced and efficient assignment of resources to support and achieve key mission priorities
• Coach, support, train, and motivate team members to ensure a high level of performance
• Initiate and lead continuous improvement activities which establish high quality and efficient DevOps/Development processes
• Collaborate with peers and direct management in resource planning and hiring activities
• Work with various team members to lead automation and integration of functionality with other software environment.
• Automate scheduled jobs to reduce labor intensive tasks. (i.e. Scheduling tasks and automating deployments of new/updated scripts)
• Work with Atlassian application suite and other pipeline tools as needed
The candidate will have 80% technical responsibilities: leading teams that develop, modify and maintain systems using engineering best practices & standards This role may include Cost Account Management and Risk Management duties.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package.
**You'll Bring These Qualifications:**
+ Bachelors with 6 years of relevant experience; 04 Years with Masters; or 04 additional years in lieu of a degree.
+ US Citizenship, ability to obtain DoD Secret clearance and ability to obtain Special Program Access (SAP)
+ 1 year of experience leading a project and/or driving performance against schedule
+ 1 year of experience with Agile engineering and Jira.
**These Qualifications Would be Nice to Have:**
+ 3+ years leading a team, including mentoring and coaching.
+ Experience with individual contributor performance assessments
+ Experience with individual contributor performance assessments.
+ Excellent interpersonal, communication and organizational skills.
+ Strong critical thinking and logical reasoning skills with the ability to solve issues and predict potential programmatic and technical risks.
+ General understanding of NG Engineering Processes.
+ Working knowledge and/or experience across engineering disciplines.
**\#GBSDleadership**
**\#GBSDSoftware**
**Salary Range:** $127,700 - $191,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10138781UtahUTSentinel (GBSD) Manager Software Engineering 2 - 11658None000A0D3403664C23901E8CD9CDD85BBDhttp://northropgrumman-veterans.jobs/000A0D3403664C23901E8CD9CDD85BBD23BaltimoreNorthrop GrummanUnited StatesUSA2024-03-27 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Job Description
Northrop Grumman Mission Systems has an opening for a Sr. Staff Systems Engineer to join our team of qualified, diverse individuals at our Linthicum/ Baltimore, MD campus. This position requires a Security Clearance to be obtained prior to starting in the role.
This position requires expertise and interest in defining and developing advanced Electronic Warfare (EW) systems. The selected engineer will work directly with internal and external customers to define architectures, derive requirements, trade alternatives, identify and perform analyses, and work across technical disciplines within the larger program applications. The successful candidate will have familiarity with both active and passive systems to perform detection, tracking, geolocation, jamming and similar sensing and exploitation activities. To be most successful, this expertise should span the development lifecycle, from concept development to demonstration systems and through to deliverable systems and operation. Mode/Skill definition/execution, performance/range computations, and small team leadership are of particular interest for this role. Performs technical planning, system integration, verification and validation, cost and risk, and supportability and effectiveness analyses for total systems.
Position is primarily in execution of airborne systems. Qualified candidates may also be considered for roles across warfighting functions and branches to include airborne, surface and undersea mission sets.
**Responsibilities include:**
+ Coordinate directly with internal and external leadership, both technical and programmatic
+ Translate customer concepts into achievable physical and digital systems
+ Define system trades, then recommend and implement strategies to down select approaches for EW solutions based on mission understanding
+ Be willing and able to act as the technical authority for the program
+ Understand domain-specific limitations and expectations for mission success
* This position requires up to **80% on-site work in the Linthicum/Baltimore, Maryland** campus and the ability to pass an enhanced security review.
**Basic Qualifications:**
+ Bachelor's Degree in Engineering, Microelectronics, Material Science, Chemistry, Physics or closely related technical major with 14 years of relevant experience; 12 years with an MS; 9 years with PhD and an additional 4 years of experience may be considered in lieu of a degree.
+ 4+ years of experience in technical leadership for RF/EW systems, focused on successfully delivering systems to customer(s)
+ 8+ years of experience in RF/EW applications, including model development/application in Matlab, Python, and/or C/C++, tracking, algorithm integration and development
+ 6+ years of experience in RF, ES and/or EA applications
+ 8+ years of experience in developing and implementing novel systems
+ Excellent communication skills for both in-person and written content
+ Experience engaging directly with internal and external technical and programmatic representatives
+ Outstanding problem solving and troubleshooting skills
+ Willingness and ability to work onsite and more than 80% within a closed area at the Baltimore campus
+ Ability to assimilate new concepts quickly and independently
+ US Citizenship required
+ Active Secret Clearance and SAP accesses prior to starting
**Preferred Qualifications:**
+ Demonstrated history of personnel development and successful team engagement
+ Interest in and track record of providing successful mentorship
+ Ability to work across multiple geographically separated campuses
+ Familiarity with RF sensing modalities
+ Top Secret, SCI, and/or SAP accesses
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
https://totalrewards.northropgrumman.com/
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
*This position is contingent upon the ability to obtain/maintain DoD Secret Clearance and Special Program Access (SAP) prior to starting.
**Salary Range:** $157,500 - $236,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10143898MarylandMDStaff EW/RF Systems EngineerNone0CA7E48CAD5C47AAAE876F2343CED8E4http://northropgrumman-veterans.jobs/0CA7E48CAD5C47AAAE876F2343CED8E423CharlottesvilleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems (NGMS) is seeking **Principal Embedded Software Engineers** with the desire to learn new technologies to join our innovative Software Technologies Organization to help develop, enhance, and maintain software on cutting edge products and systems. Candidates will work on software systems for mission systems in all phases of the software development lifecycle, primarily in C/C++.
This position is located at **Charlottesville, Virginia** .
**What You'll get to Do:**
+ Development across the entire software development life cycle.
+ Design and develop embedded software modules and applications.
+ Identifying risks, suggesting mitigation, tracking defects, developing resolutions, and reporting status.
+ Develop and execute unit tests and build verification test plans, and track and report test status.
+ Conduct reviews of software related products, software designs, and additional technical documents.
+ Active participation in Integrated Product Teams (IPT), design reviews, and other reviews.
+ Actively participate in the technical peer reviews to ensure system/software requirements are being met from an end-to-end system point of view.
**Basic Qualifications:**
+ Bachelor's degree in Computer Science, Computer Engineering, Electrical Engineering, or other STEM (Science, Technology, Engineering or Mathematics) discipline with 5 years of related engineering experience; or a master's degree in STEM with 3 years of related engineering experience.
+ Proficient in C++ and object-oriented design.
+ Experience with full software lifecycle: specification, design, implementation, integration, debug and maintenance.
+ Experience in Agile development practices.
+ Self-Starter, highly motivated, and energetic with ability to perform with minimal guidance as a member of a small group or individually.
+ US Citizenship is required to obtain and maintain required DoD Secret Clearance - Interim Secret clearance or higher required to start.
**Preferred Qualifications:**
+ Experience in algorithmic application development and optimization.
+ Experience in real-time embedded software development knowledge of Radar, Electronic Warfare, or Space technologies.
+ Experience with VxWorks and/or Linux Operating Systems.
+ Experience with Visual Studio.
+ Experience with MATLAB.
+ Experience with static code analysis tools.
+ Experience in Open Architecture Solutions.
+ Experience with the Atlassian tool set, Model Based Engineering, and DevSecOps best practices.
This position is contingent upon candidate obtaining an interim secret clearance or higher to start.
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**NGFeaturedJobs**
**EmbeddedSWChar**
**Salary Range:** $92,600 - $139,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Charlottesville, VAR10133875VirginiaVAPrincipal Embedded Software Engineer Airborne SensorsNone18DE56B69CF3493CB916DA8E5F7835A1http://northropgrumman-veterans.jobs/18DE56B69CF3493CB916DA8E5F7835A123Edwards AFBNorthrop GrummanUnited StatesUSA2024-03-27 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman we develop cutting-edge technology that preserves freedom and advances human discovery. Our pioneering and inventive spirit has enabled us to be at the forefront of technological advancement in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We continue to innovate with developments from launching the first commercial flight to space, to discovering the early beginnings of the universe. Our employees are not only part of history, they are making history.
Northrop Grumman Aeronautics Systems is seeking a successful candidate to fill an experienced **Principal Electronics Engineer - Fault Isolation/Sr. Principal Electronics Engineer - Fault Isolation** to join our team of qualified, diverse individuals. The position is located at **Edwards AFB ,CA.**
**This position may be filled by either a Principal Electronics Engineer - Fault Isolation level or a Sr. Principal Electronics Engineer - Fault Isolation level based on the qualifications listed.
The selected candidate will be working in a fast-paced, dynamic environment working closely with other engineers and technicians in a lab-like environment
**Essential Functions:**
+ Integrating, testing and trouble-shooting software/hardware systems in complex IT systems.
+ Provide expert software, hardware, and system support to on-site end-users.
+ Train end-users.
+ Support the system & software defect resolution and enhancement efforts.
+ This position requires frequent travel for cross-team collaboration.
The candidate will be supporting a program where application of extensive technical expertise will be required to support a developing system.
**Basic Qualifications:**
**For - Principal Electronics Engineer - Fault Isolation (T03):**
+ Bachelor's degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited university and 5 years of experience OR Master's degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited university and 3 years of experience OR 0 years' experience with PhD for T03 level.
+ Must have an Active, In-Scope, DOD Top Secret Security Clearance or higher that has been granted / renewed within the past 6 years.
+ Must have the ability to obtain and maintain Special Program Access.
+ Mission Planning experience
+ Demonstrated experience with Windows and Unix operating systems
+ Demonstrated experience with VMWare
+ Security+ Certificate
**Basic Qualifications:**
**For - Sr. Principal Electronics Engineer - Fault Isolation (T04):**
+ Bachelor's degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited university and 9 years of experience OR Master's degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited university and 7 years of experience OR 4 years' experience with PhD for T04 level.
+ Must have an Active, In-Scope, DOD Top Secret Security Clearance or higher that has been granted / renewed within the past 6 years.
+ Must have the ability to obtain and maintain Special Program Access.
+ Mission Planning experience
+ Demonstrated experience with Windows and Unix operating systems
+ Demonstrated experience with VMWare
+ Security+ Certificate
**Preferred Qualifications:**
+ Experience developing and maintaining System Configurations, Microsoft PowerShell scripts, Bash and Python scripts and Microsoft Group Policies
+ Familiarity with storage systems and basic networking
+ Familiarity with Solaris 10 and 11, Oracle OEM
+ Familiarity Microsoft Windows Server, Microsoft Windows, Active Directory
+ Familiarity VMware ESXi, VMware vCenter
+ Ability to author and maintain technical installation, configuration, and update procedures
+ Ability to document and maintain system configurations
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.
**Salary Range:** $107,300 - $160,900
**Salary Range 2:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Edwards Afb, CAR10146853CaliforniaCAPrincipal Electronics Engineer - Fault Isolation/Sr. Principal Electronics Engineer - Fault IsolationNone225D01A99E5541089B5A5960E6A643EAhttp://northropgrumman-veterans.jobs/225D01A99E5541089B5A5960E6A643EA23MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, we Define Possible. We are a passionate community of engineers and leaders, a creative community of scientists and researchers, a diverse community of human beings, all driven to pioneer the future. And in Survivability, that future is bright... Or, from the enemy's perspective, it is as dark as the cover of a moonless night.
Now, imagine sitting in a stadium, or outside at a parade, and the next generation of Stealth Technology flies overhead, drawing a roar of admiration from the crowd. Imagine being able to turn to the person next to you and saying "I had a hand in that." At Northrop Grumman Aeronautics Systems and in Survivability Engineering, that could be Your Possible. We are tasked with developing, testing, and implementing new materials, technologies, and concepts, that enable the most lethal, intelligent, and collaborative systems to penetrate and operate in enemy airspace with impunity. We work hand in hand with a broad swath of other engineering disciplines to design, develop, manufacture, and sustain these systems. Our responsibilities span the full Systems Engineering lifecycle-from research and development to modeling and analysis, from static / component test to dynamic flight test, from manufacturing floor support to field repairs and maintenance, and more. We work across multiple spectrums-from RF (Radio Frequency), to IR (Infrared) and Visual, to Acoustic. Our engineers have the opportunity to work in various environments-behind desks, in highly specialized labs, at indoor and outdoor test and measurement ranges, and at overseas operational locations. Our team is absolutely critical to the cradle-to-grave success of our cornerstone programs and the programs of the future, and your role on our team would be equally critical to our success as an organization. Without Survivability Engineers, there is no Low in Low Observable. Without us, there is no Stealth in Stealth Bomber. Join us, and let's Define Survivable together!
**Northrop Grumman is an industry leader in Stealth Technology** . We are involved in all phases of development, production, and maintenance of Low Observable (LO) platforms. We are currently looking for **Stealth / Low Observable / Radar Cross Section (RCS) / Signature / Survivability Engineers** to work on exciting and challenging projects.
This position can be filled at a **Survivability Engineer** (Level 2) or **Principal Survivability Engineer** (Level 3) based on qualifications listed below.
**We need your help to engineer at the edge of the impossible!**
**We would like you to bring your experience in design integration, product support or antenna integration to assist our highly skilled an innovative team.**
**Core competencies:**
+ **Use the latest classified cutting-edge technology** to reduce the detectability of aircraft in the electromagnetic, infrared, visual and acoustic spectrums.
+ **Predict** the performance of your design using proprietary analysis codes.
+ **Get you hand dirty and verify your prediction** and the design by building and test physical models.
+ **Optimize the design for Stealth** while meeting the requirement of all other engineering disciplines.
+ **Take Responsibility** for achieving customer goal and balancing the design in an Integrated Product Team (IPT) environment.
+ **Thrive in a fast-paced work environment** with high expectations, significantly diverse assignments, and extensive collaboration.
**Basic Qualifications:**
+ Demonstrated skills and knowledge in Stealth (design integration, product support or antenna integration).
+ Must have an active DoD Secret (or higher) clearance.
+ A Special Access Program (SAP)/Program Access Request (PAR) will be initiated on the selected candidate and must be active before a start date can be established.
+ **Educational/ Experience Requirements:**
+ **Survivability Engineer:** Bachelor's degree in a STEM discipline AND 2 years of related professional/military engineering experience, OR a Master's degree AND 0 years of related professional/military engineering experience.
+ **Principal Survivability Engineer:** Bachelor's degree in a STEM discipline AND 5 years of related professional/military engineering experience, OR a Master's degree AND 3 years of related professional/military engineering experience, OR a Ph.D. AND 0 years of related professional/military engineering experience.
**Preferred Qualifications:**
+ Demonstrated Knowledge and Experience using the latest classified cutting-edge technology to reduce the detectability of aircraft in the electromagnetic, infrared, visual and acoustic spectrums.
+ Experience with Northrop Grumman proprietary computational electromagnetic analysis codes or similar computational electromagnetic analysis codes used by our competitors or customers.
+ Complete understanding and capability to plan and implement Radio Frequency (RF) modeling and testing of complex classified targets at nations premier indoor/outdoor RCS/RF test facility.
+ Working knowledge of the requirements of other supporting engineering disciplines to help in achieving optimization of Stealth goals and a balanced design.
+ Strong interpersonal communication and technical writing skills to enable effective personal interaction and collaboration with a multi-disciplined team and customers.
+ Knowledge of Applied Electromagnetics / Aircraft Survivability, and a Comprehensive understanding of Electromagnetic Energy transmission though space and within materials.
+ The ability to post process complex electromagnetic data to identify and exploit trends to help our aircraft vanish from the RADAR screen.
+ Master's degree in physics, Electrical Engineering, or a related technical field.
+ A current active U.S. Government in-scope DoD Top Secret security clearance with the ability to obtain and maintain Special Program Access (PAR/SAP).
**Salary Range:** $73,400 - $110,000
**Salary Range 2:** $90,400 - $135,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10134052FloridaFLSurvivability Engineer/Principal Survivability Engineer (Level 2 or 3)None24EB3D3137CF476C94E1FB42B79114C2http://northropgrumman-veterans.jobs/24EB3D3137CF476C94E1FB42B79114C223LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
NG- Military Internship Program Description:
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29 .The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members.
Responsibilities for this internship position are:
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for SkillBridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
Goals - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to
offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
Objectives - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
Outcome - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
DoD SkillBridge Eligibility:
* Has served at least 180 days on active duty
* Is within 12 months of separation or retirement
* Will receive an honorable discharge
* Has taken any service TAPS/TGPS
* Has attended or participated in an ethics brief within the last 12 months
* Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship
Northrop Grumman seeks separating military with systems engineering skills, gained through education or experience, and a STEM degree for our System Engineering Associates (SEA) Program. The program consists of two one-year assignments that enhance system engineering capabilities through experiential assignments that may be in different organizations within Northrop Grumman, different parts of the country and serve different customer sets and mission areas. The goal of the program is to increase system engineering competencies and prepare employees for roles as deputy chief engineers and SEIT leads.
The program can accommodate participation in areas outside of Baltimore, within proximity to a major Northrop Grumman location.
Participants have opportunities to expand their resume and experience base. We provide mentoring by experts in the field as well as networking and development opportunities to accelerate technical and leadership development. We strive to give participants insight into as much of our company as possible, enabling them to select the perfect job within Northrop Grumman for themselves at program completion.
Basic Qualifications:
+ Bachelor of science degree in a STEM field,
+ Leadership and communication skills demonstrated through leading project execution, involvement in professional associations or activities in other external organizations,
+ US Citizen,
+ Able to obtain a secret security clearance.
Preferred Qualifications:
+ Demonstration of at least three of the defined INCOSE competencies
**Salary Range:** $1 - $1
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10111044MarylandMDNorthrop Grumman (DoD SkillBridge) - System Enginering Associates (SEA) ProgramNone325C842EC81243A2A6E8F9841C35D839http://northropgrumman-veterans.jobs/325C842EC81243A2A6E8F9841C35D83923MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems** Sector has an opening for a **Principal Model-Based Engineering (MBE) CAD/PLM Tools Engineer (level 3) or Sr. Principal Model-Based Engineering (MBE) CAD/PLM Tools Engineer (level 4)** to join our team of qualified, diverse individuals within our Vehicle Engineering team in **Melbourne, FL** .
(Please note: This position is related to engineering software tools (i.e. CAD, PLM, etc.) and not manufacturing hardware tools)
**Job Summary:**
The MBE Tools Engineer leverages prior engineering design/analysis experience to support Model-based Analysis (MBA), Model-based Design (MBD), Model-based Manufacturing (MBM), and Product Lifecycle Management (PLM) initiatives related to engineering tools development. The candidate shall work independently to uncover and resolve issues associated with the development and implementation of Engineering Tools and exercises considerable latitude in determining technical objectives of the assignment.
**Key responsibilities include:**
+ Maturation of digital thread between engineering and manufacturing
+ Engineering CAD/PLM tools development and maturation of requirements
+ Documentation of engineering use cases
+ Validation and testing of CAD/PLM tool functionality
+ Creation of training/best practice documentation
+ Provide subject matter expert (SME) user support
+ Develop/update sector processes for CAD/PLM
This role may be filled as a Principal Engineer (level 3) or Senior Principal Engineer (level 4) based on the qualifications outlined below.
**Basic Qualifications:**
+ **Principal:** Bachelor of Science (BS) degree in a STEM (Science, Technology, Engineering or Math) discipline and 5 years of related experience; OR Master of Science (MS) degree in a STEM discipline and 3 years of related experience; OR a Ph.D. in a STEM discipline with 0 years of experience
+ **Sr Principal:** Bachelor of Science (BS) degree in a STEM (Science, Technology, Engineering or Math) discipline and 9 years of related experience; OR Master of Science (MS) degree in a STEM discipline and 7 years of related experience; OR a Ph.D. in a STEM discipline with 4 years of experience
+ Experience in design, analysis and/or engineering tools development
+ Must have the ability to obtain and maintain a DoD Secret clearance prior to the commencement of employment
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance
**Preferred Qualifications:**
+ Current, in-scope DoD Secret (or higher) clearance (with a background investigation within the past 6 years or enrolled into Continuous Evaluation)
+ Experience working in an aerospace design environment with emphasis in the following disciplines: Structural Design/Analysis, Subsystems Design/Analysis, Electrical/Mechanical Routing, or Mechanism Design/Analysis
+ Experience working with Teamcenter and NX (or equivalent PDM/PLM and CAD/CAE applications)
+ Experience working in the context of an Agile/Scrum environment
+ Communicates effectively and clearly presents technical approaches and recommendations
**We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.**
**Salary Range:** $84,200 - $126,400
**Salary Range 2:** $104,400 - $156,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10143449FloridaFLModel-Based Engineering (MBE) CAD/PLM Tools Engineer (level 3/4)None47DCB92FA2A74C68BBB42CFFE6483FD3http://northropgrumman-veterans.jobs/47DCB92FA2A74C68BBB42CFFE6483FD323MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems Sector** has an opening for a **Principal Engineer Software** or **Senior Principal Engineer Software** to join Global Surveillance Division supporting a team of qualified, diverse individuals. **This position will be located in Melbourne, Florida.**
Responsibilities will include deploying, automating, maintaining, troubleshooting, and improving the systems and software infrastructure running smoothly. The role requires you to have hands on technical experience and a can-do approach towards environment automation and management along with continuous improvements. You will be a team member working in agile development process. You will be responsible for the design and implementation of application builds, releases, deployment along with configuration activities. Your responsibilities will include working with internal business partners to gather requirements, prototyping, and architecting complex solutions supporting the building and test plan execution, performing quality reviews, and triaging and fixing operational issues. The candidate will assist with the integration, troubleshooting and testing of implemented software changes. The ideal candidate will have the ability to take ownership of an ambiguous hard problems and drive to a solution, while working in a team environment. It is critical that you understand the software development life cycle and have an in-depth knowledge of automated testing to facilitate and analyze large data sets. The Software Engineer will develop, modify, and maintain customized or standardized applications using software engineering best practices and standards, and participate in the full life cycle of software development, to include requirements development, modeling and design, application development, unit to CSCI testing, integration, formal system testing, release, installation, and maintenance.
The ideal candidate will have the ability to take ownership of hard problems and drive to a solution, while working in a team environment and provide leadership for its implementation. It is critical that you understand the software development life cycle and have an in-depth knowledge of automated testing to facilitate and analyze large data sets. The Software Engineer will participate in the full life cycle of software development, to include requirements development, modeling and design, application development, unit to CSCI testing, integration, formal system testing, release, installation, and maintenance. The Software Engineer will apply technical expertise in solving complex integrations problems. The Software Engineer will interact with Program Management, external suppliers and/or government customers. Therefore, excellent verbal, written and interpersonal skills are required. A solid experience in bash scripting, C/C++, or other OOP languages, in a Linux environment is required. Ability to obtain and maintain a DoD secret security clearance and Special Program Access is a required.
Roles & Responsibilities: This position is primarily engaged in the development and fielding of target tracking and sensor fusion systems for advanced multi-function RF and EOIR subsystems. Key features of operation include analyzing, shaping, and relating data from a wide variety of modes, such as: long-range search and track Radar waveforms, electronic surveillance measure returns, and Electro-Optic/Infrared video inputs.
Essential Functions:
+ Full lifecycle design, implementation, and unit testing of software
+ Work with various team members to integrate functionality with other software components.
+ Supporting the gathering and reporting software metrics.
+ Follow program software best practices.
+ Develop software products within all phases of the software lifecycle.
+ Develop and support systems to receive, store, process, display, correlate, fuse and forward surface data [GMTI, Synthetic Aperture Radar (SAR), Maritime Moving Target Indicator (MMTI), Inverse Synthetic Aperture Radar (ISAR), High Range Resolution (HRR) and Electronic Protection (EP)] from multiple sources.
+ Developing software products implemented from algorithms.
+ In-depth working knowledge from tracker fundamentals through fusion, parametric and correlating trackers.
**Basic Qualifications:**
+ (Principal) Bachelor's degree and 5-years of experience in software engineering OR a Master's degree and 3-years of experience OR a PhD degree and 0-years of experience.
+ (Senior Principal) Bachelor's degree and 9-years of experience in software engineering OR a Master's degree and 7-years of experience OR a PhD degree and 4-years of experience.
+ Higher proficiency understanding and developing multi-target trackers, adaptive processing, or sensor fusion algorithms.
+ Demonstrated programming experience/expertise in C/C++ or other object oriented language.
+ Proficiency with MATLAB or equivalent programming/analysis languages.
+ Experience developing software to receive, store, process, display, correlate, fuse and forward surface data, Synthetic Aperture Radar, Maritime Moving Target Indicator, Inverse Synthetic Aperture Radar, High Range Resolution, EO/IR, and Electronic Protection from multiple sources.
+ Working knowledge of Software engineering principles and processes.
+ Ability to communicate effectively with customers, senior leadership, and other engineering disciplines.
+ Ability to obtain and maintain a DoD Secret security clearance "Required to Start".
+ Able to obtain and maintain a program special access program clearance.
+ US Citizenship required.
**Preferred Qualifications:**
+ Master's Degree or PhD in a STEM discipline including electives in radar techniques and signal processing.
+ High level experience and proficiency in signal processing or RF applications.
+ Proficiency with optimal estimation algorithms (Kalman Filtering), digital beamforming, or adaptive processing.
+ Experience integrating and testing of modes/algorithms on RADAR, SIGINT, EOIR, or EW systems.
+ Active In-scope DoD Top Secret Clearance.
+ Has been previously approved for special access programs.
**Salary Range:** $90,400 - $135,600
**Salary Range 2:** $112,000 - $168,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10138590FloridaFLPrincipal Engineer Software/Senior Principal Engineer SoftwareNone4BA373A2D89345D2A003B587324DDBB6http://northropgrumman-veterans.jobs/4BA373A2D89345D2A003B587324DDBB623NorthridgeNorthrop GrummanUnited StatesUSA2024-03-27 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Want to solve complex problems at the "napkin sketch" level by creating cutting-edge, complex systems that need to operate in the harshest of conditions? Join Northrop Grumman's Advanced Programs (Future Concepts) on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
**Northrop Grumman Defense Systems** is looking for a motivated **GNC Engineer (Principal or Sr Principal Engineer - Guidance Navigation Control)** to support the **Advanced Programs - Future Concepts Team** in **Northridge, CA** .
Our work influences the investment decisions of our senior leadership and customer program offices. The GN&C discipline itself is a mesh of many other fields (e.g., Systems Engineering, Aerospace Engineering, Software Engineering, etc.) and frequently collaborates across functional disciplines, offering a unique opportunity to obtain a broad understanding of many areas or to focus on developing a deep expertise more narrowly in any one of the relevant fields.
This drives the development of clean-sheet requirements, refine existing program system requirements, and influence the pursuit of future technologies and capabilities.
You will work with air vehicle and subsystem engineers, as well as with program and customer leadership, to recommend candidate designs, and to develop Concepts of Operation (CONOPs) and Tactics, Techniques and Procedures (TTPs) at the system, mission, and campaign levels.
The selected candidate will be responsible for developing new and / or integrate existing system simulation frameworks, performance models and algorithms, threat models and command and control models.
**Essential Functions:**
+ You will be driving the full cycle of mission trajectories, from taking requirements from customers, design reviews, simulation, and analysis of the mission, to running operations.
+ Perform trajectory design, optimization, and dispersion analysis for Air-to-Ground and Air-to-Air missile missions for all phases of flight.
+ Develop flight constants and guidance parameters, verified via analysis to meet mission requirements subject to mission and vehicle constraints.
+ Develop software automation tools for mission design, analysis, test, and reporting.
+ Perform trade studies to improve weapon performance and reliability.
+ Implement new software or algorithms to support mission unique capabilities.
+ Support full mission lifecycle, starting with sales and concluding with mission execution.
+ Interact with customers to execute current missions and develop future business.
**Experience in at least one of the below:**
+ Design Guidance algorithm: Guidance Law, Trajectory Optimization, Flight Vehicle Autonomy
+ Design Navigation algorithm: Initial Alignment, Strapdown Propagation, INS filter
+ Flight Control algorithm: Trim Point Selection, LTI Trim and Maneuver Analysis, Non-linear Dynamic Analysis
+ Tracking algorithm: Angle Only Tracking, Maneuvering Target Tracking, Multi-Target Tracking, Multi-Sensor Data Fusion, Track File Manager
+ Architect high-fidelity multiple degree-of-freedom flight/orbital mechanics and vehicle dynamics simulation
+ Modeling of navigation sensors in the Monte Carlo simulation
+ Architect modeling of targeting sensors in the Monte Carlo simulation. Evaluate simulation output versus actual targeting sensors' output.
+ Architect flight vehicle system conceptual designs and modeling & simulation for trade studies, initial requirements definition, and contribute to proposal efforts.
+ Architect propulsion systems including electric, rocket, air-breathing, and attitude control thruster. Evaluate simulation output versus actual test data.
+ Architect modeling of actuation systems as well as design of test equipment to demonstrate actuation system performance meet requirement.
**Preferred Qualifications**
+ Proposal development, writing or execution
+ Master's Degree in a STEM discipline from an accredited university
+ Familiarity with AGILE, MBSE, and / or system architecture frameworks
+ Effective project management skills and good communication techniques
+ Experience with version control tools (Bitbucket, GitHub, or Gitlab, etc.)
+ Strong interpersonal and leadership skills
+ Excellent communications skills, including the ability to present and brief technical data to all levels of leadership within the organization and U.S. Government
+ Analysis of Survivability, Lethality, Vulnerability, Engagement at the mission level
+ Experience integrating plug-ins to AFSIM
+ Knowledge of RF Threat Modeling
+ Knowledge of guided missile design
**In this role:**
+ We are looking for engineers who enjoy solving complex problems, can work effectively in a fast-paced environment and are passionate about advancing the aerospace industry.
+ Drive the development of clean-sheet requirements, refine existing program system requirements, and influence the pursuit of future technologies and capabilities
+ Performs operational analysis and mission effectiveness analysis
+ Participate in Agile project framework
+ Perform mission engineering activities to ensure continuity between desired operational capabilities and delivered system performance
+ Ensure performance capabilities of new systems and architectures are traded against cost and mission requirements; develop mission relevant scenarios.
+ Assess operational effectiveness of existing and emerging systems and capabilities in representative operational environments
+ Solicit input from various stakeholders, synthesize data, and communicate results to various levels of leadership within the organization
+ Develops software to model behavior of systems in real-time and constructive simulations
+ Develops, integrates, and uses advanced graphical user interfaces and visualization tools
**Minimum Qualifications:**
+ Must have an Active Security Clearance
+ **For Principal GNC Engineer:** Bachelor's degree in STEM related field plus 5 years of experience, or master's degree with 3 years of experience
+ **For Sr Principal GNC Engineer:** Bachelor's degree in STEM related field plus 9 years of experience, or master's degree with 7 years of experience
**Salary Range:** $104,600 - $157,000
**Salary Range 2:** $129,700 - $194,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Northridge, CAR10144030CaliforniaCAPrincipal / Sr Principal GNC Engineer (Active Secret Clearance Required) (AHT)None61072E5EF11B4029AE70F9BEDDF92F48http://northropgrumman-veterans.jobs/61072E5EF11B4029AE70F9BEDDF92F4823Fort WorthNorthrop GrummanUnited StatesUSA2024-03-27 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Description:**
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aerospace Systems has an opening for a **Field Engineer (T02) or Principal Field Engineer (T03) as a F-35 Product Support Engineer** to join our team of qualified, diverse individuals. This position is located in **Fort Worth, TX** in support of the F-35 Lightning II Program! The candidate will be assigned to the Product Support organization supporting F-35 aircraft Product Support Engineering, and Fleet & Field Support. Candidate will be Northrop Grumman's technical interface and on-site company representative to the customer providing sustaining engineering support to resolve issues arising from operations, maintenance, modifications and other logistics and engineering support activities involving F-35 aircraft systems, including Air, Vehicle and Structures systems and Supportable Low Observables (SLO), and Support Equipment within established engineering guidelines.
**Job Duties:**
+ Self-starter, work without direct supervision
+ Integrate into a high tempo work environment supporting F-35 aircraft operations and maintenance.
+ Identify technical issues and recommend solutions to support F-35 engineering disposition process.
+ Provide engineering and aircraft/equipment maintenance guidance to military/civilian maintainers, depot technicians and engineers.
+ Accomplish analysis on pending engineering changes and monitor systems performance indicators to identify emerging failure trends.
+ Utilize technical and engineering data to perform problem analysis at subsystem level.
+ Manage and track engineering and logistics support activities, and provide periodic status to customers and program management team.
+ Research, develop, and disseminate activity reports, Urgent Field Notices, and other engineering products.
+ Provide F-35 operational location on-site support as requested by customer, site manager or program management.
+ Support program technical interchange meetings and program plans development.
+ Have good interpersonal and communication skills and engage with multiple engineering disciplines, Field Support Engineers, military personnel and other contractors on a regular basis.
+ Work any shift, weekends, overtime and holidays, if necessary, as well as travel on Temporary Duty Assignments (TDY) to support F-35 program requirements
**Basic Qualifications for Field Engineer:**
+ Bachelor's degree in a STEM field (Science, Technology, Engineering, or Math) and 2 years' aircraft field/maintenance operations experience, OR Master's degree with no years' experience in aircraft field/maintenance operations.
+ Must have an active DOD Secret (or higher) clearance.
**Basic Qualifications for Principal Field Engineer:**
+ Bachelor's degree in a STEM field (Science, Technology, Engineering, or Math) and 5 years' aircraft field/maintenance operations experience, OR Master's degree with 3 years' experience in aircraft field/maintenance operations OR PhD with 0 years of experience.
+ Must have an active DOD Secret (or higher) clearance.
**Preferred Qualifications:**
+ F-35 aircraft and support equipment experience
+ Field service or prior military experience
+ Experience maintaining/supporting 5th Gen or Low Observable (LO) aircraft.
+ Advanced composite, airframe and corrosion repair experience
+ Previous access to Special Access Programs
+ Experience interfacing with diverse teams of contractors, military customers, and depot management.
+ Working knowledge of engineering tools such as CATIA, VAS, PDM
+ Working knowledge of F-35 applications such as QADS, ALIS, CMMS, CRM, JDL
**Salary Range:** $68,800 - $103,200
**Salary Range 2:** $84,600 - $127,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fort Worth, TXR10154361TexasTXField Engineer OR Principal Field Engineer (F-35 Product Support Engineer)None68FB1B24E6ED4B93B134EBFF04E920AFhttp://northropgrumman-veterans.jobs/68FB1B24E6ED4B93B134EBFF04E920AF23LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems has an opening for a Staff Radar Systems Engineer to join our team of qualified, diverse individuals at our Linthicum/Baltimore, MD campus. Talented candidates with backgrounds in Systems, Electrical, Computer, or Mechanical Engineering as well as Physics, Mathematics, and other related STEM fields are highly encouraged to apply! Our team is composed of various disciplinary backgrounds driving innovation. This position requires up to 100% on-site work and an ability to pass an enhanced security review.
At Northrop Grumman, our employees have the privilege of developing revolutionary systems that impact people's lives around the world today and will continue to do so for generations to come. Our pioneering spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We seek out candidates with bold new ideas, courage, and an inventive spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work, and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history. You can be part of our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
**How You Will Make a Difference at Northrop Grumman:**
As the lead developer of RF sensors for some of the most advanced systems in the U.S. arsenal, Northrop Grumman is a world leader in advanced sensor systems and design. These include radars for air dominance platforms (such as the F-22, F-35 Joint Strike Fighter, F-16), bomber and strike programs (such as B-1B), airborne / maritime / ground-based surveillance missions (E-3 AWACS, E-7 MESA, TPS-80 G/ATOR and UAV systems such as Global Hawk), as well as restricted programs and missions. We offer the opportunity to join a team to solve problems in the field and drive new solutions into next generation radar systems and air superiority platforms.
Northrop Grumman's Airborne Multifunction Systems (AMS) Systems Engineering, Integration and Test (SEIT) organization has a need for a Radar Systems Engineer supporting the architecture, design, implementation, integration, and verification of some of our most advanced multi-function RF sensors and remote sensing applications. Key modes of operation include synthetic aperture radar for ground imaging applications, electronic protection to defeat the most advanced adversaries' electronic attack, long-range search, and track waveforms to provide critical situational awareness to our customers and missile data link. Daily activities may consist of requirements development, trade studies, algorithm design, MATLAB simulations, waveform design, signal processing, performance analysis, and flight testing.
Successful candidates will have the opportunity to work on a highly visible and valued mode of operation on some of the most prized platforms in the U.S. arsenal alongside a team of highly qualified sensor systems engineers. Northrop Grumman prioritizes mentoring and growth for our engineering team. This position offers exciting near-term challenges as well as long-term development through Northrop Grumman's technical career paths.
*This position requires up to **100% on-site work in the Linthicum/Baltimore, Maryland** campus and the ability to pass an enhanced security review.
**Roles and Responsibilities**
Staff Radar Systems Engineers develop parametric representations, visualizations, and data-driven analyses to support sensor requirements definition and use-case validation, including waveform and signal processing implementation. Engineers develop models and simulations in MATLAB, Python or C++ to perform radar computations, validate design choices, and troubleshoot integration findings. Staff Radar Systems Engineers work closely with Software Engineers and Systems Integration and Test Engineers to complete software/system/hardware integration and performance verification progressing in digital simulation environments, radar labs, and our on-site fleet of specially modified test aircraft. Throughout this process, Staff Radar Systems Engineers engage with our customers to balance functional and performance requirements with other sensor functionality to enable true multi-function operation.
**Basic Qualifications:**
+ Bachelor's Degree in Engineering, Microelectronics, Material Science, Chemistry, Physics or closely related technical major with 14 years of relevant experience; 12 years with an MS; 9 years with PhD; an additional 4 years of experience may be considered in lieu of a degree.
+ US Citizenship required
+ Active DoD Secret Security clearance and SAP access needed prior to starting
+ Matlab experience
+ Understanding the AIMS certification process and documentation
+ Experience with Model Based Systems Engineering
+ Experience working through the Systems Engineering process
**Preferred Qualifications:**
+ DoD Top Secret Clearance
+ Previously approved for special access programs
+ Ability to operate independently with minimal supervision
+ Proficiency with MATLAB, Python, C++, or equivalent programming/analysis languages
+ Knowledge of system engineering design methods, including requirements analysis and flow-down, specification derivation and interface control documentation
+ Experience with performance analysis by simulation, including verification and validation techniques
+ Experience with radar waveform, digital beamforming, adaptive processing, or algorithm development
+ Ability to effectively communicate and present complex technical concepts to project, program, and company management, other technical managers, and customers
+ Excellent technical writing and team-building skills
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
https://totalrewards.northropgrumman.com/
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
*This position is contingent upon the ability to obtain/maintain DoD Secret Clearance and Special Program Access (SAP) prior to starting.
**Salary Range:** $157,500 - $236,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10143935MarylandMDStaff Radar Systems EngineerNone773EF899A8694B12A91B9859BAE1E4AAhttp://northropgrumman-veterans.jobs/773EF899A8694B12A91B9859BAE1E4AA23ClearfieldNorthrop GrummanUnited StatesUSA2024-03-27 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems** has an opening for a **Senior Staff Chief Engineer** to join our team of qualified, diverse individuals in **Clearfield, UT** . In this role, you will lead a technical Engineering Team supporting production and new design releases.
**Our team works with cutting edge exotic materials and novel products to innovate within our mission, working across the facility supporting large composite structures. Are you interested in working on state-of-the-art composites?** **Come join us!**
**Job Summary:**
In this role, you will apply and/or develop highly advanced technologies, scientific principles, and theories to resolve technical issues in support of long-range strategic program goals. You will serve as a consultant to top management in areas of development and advancements and will be the prime spokesperson to both internal and external stakeholders on technical issues. You will ensure our engineering teams are adhering to sound engineering processes in the development, production, test, and sustainment of products for our customers and comply with company policies, procedures, and quality standards. The successful candidate will exemplify an exceptional degree of ingenuity, creativity, and resourcefulness to lead the technical planning and execution on cutting edge programs.
**Responsibilities include:**
+ Responsibility and technical authority for large build-to-specification program
+ Maintain technical baseline and oversees technical activities within the Program
+ Lead and direct cross-functional engineering teams during proposal, design, manufacturing, and delivery phases of products in development and production phases
+ Support proposal development and new program acquisition efforts
+ Technical leadership for an engineering organization, to include technical processes and excellence, talent growth, mentoring
+ Lead Root cause and failure investigations
+ Support competitive proposal development
**Basic Qualifications:**
+ Bachelor of Science in a related STEM (Science, Technology, Engineering or Mathematics) discipline and 20 years of related experience; OR Master of Science (MS) in a related STEM discipline and 18 years of related experience; OR PhD in a related STEM discipline and 15 years of related experience
+ Experience in Design and Analysis for product development aerospace structures
+ Expertise within composites
+ Current, in scope DOD Secret clearance (or higher)
+ Must be able to obtain Special Access Program clearance (SAP/PAR)
**Preferred Qualifications:**
+ Advanced degree in Mechanical or Aerospace Engineering
+ 15+ years' experience in aerospace structures and product development
+ Demonstrated experience in establishing product line development and support
+ Experience in multi-sensor fusion
+ Demonstrated success balancing technical and business decisions
+ Experience in optimizing multi-disciplinary methods, analysis, and processes
+ Experience and/or training in Earned Value Management Systems
+ Excellent technical writing skills
+ Excellent verbal and interpersonal skills required to work closely with both internal staff including the executive level as well as external customers and partners
+ Experience with Design, Analysis, Systems, Tooling, Development, Nondestructive Inspection, Materials and Process Engineering
+ Experience leading and developing large multifunction engineering teams
+ Proven experience in developing and maintaining customer relationships
**Salary Range:** $158,200 - $237,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10145106UtahUTSenior Staff Chief Engineer - Aerospace/CompositesNone892D454C0AC14BF09F87B3A293AE8150http://northropgrumman-veterans.jobs/892D454C0AC14BF09F87B3A293AE815023RoyNorthrop GrummanUnited StatesUSA2024-03-27 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems sector has an opening for an **Staff Airworthiness Engineer** to join our team of qualified, diverse individuals within the **Office of Independent Airworthiness (OIAW)** . This position is based in **Roy, UT or Melbourne, FL.**
The Staff Airworthiness Engineer will be working within the Airworthiness Engineering Directorate supporting certification efforts on various air vehicle platforms. The selected candidate will ensure the logical and systematic realization of customer airworthiness certification objectives into system solutions to meet program needs throughout the product lifecycle (e.g. Flight Releases, Type Certification, continued airworthiness, and operation of airspace integration).
**Essential Duties:**
+ Performs technical airworthiness planning and verification support including development and negotiation of airworthiness plans, bases of certification, means of compliance, and other certification activities for complex total systems with IPT/REs as well as customer counterparts.
+ Reviews and coordinates with other airworthiness engineers as well as internal engineering disciplines performed across the total system to include design, fabrication, test, installation, operation, maintenance and disposal.
+ Performs functional and process analyses, requirements allocation and definition studies to translate customer requirements into criteria and derived requirements. Ensures the logical and systematic realization of customer airworthiness certification objectives into system solutions to meet program needs throughout the product lifecycle (e.g. Flight releases, Type certification, continued airworthiness, operations, and airspace integration).
+ Responsible for managing and maintaining Type Design Data and airworthiness evidence in support of a Military Type Certification and Air System Continued & Continuing Airworthiness as a member of the senior technical AW staff in a System Design Responsible company.
The selected candidate should thrive in a geographically dispersed and fast-paced work environment with high expectations, significantly diverse assignments, collaborative/team settings across all levels.
**Basic Qualifications:**
+ Bachelor's of Science Degree in a STEM (Science, Technology, Engineering, or Mathematics) discipline and 14+ years engineering experience OR a Master's of Science Degree in a STEM discipline and 12+ years of engineering experience OR a PhD Degree in a STEM discipline and 9+ years of engineering experience
+ Familiarity of MIL-HDBK-516C
+ Work experience leading the development of Airworthiness plans, a Basis of Certification, and related activities
+ Work experience with US DoD and domestic airworthiness authorizations and type certifications
+ Ability to initiate Airworthiness Certification process improvement initiatives
+ Active DoD Secret security clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation) with ability to obtain U.S. Government DoD Top Secret security clearance and the ability to obtain and maintain Special Access Program (SAP)
**Preferred Qualifications:**
+ Military aircraft development or modification experience
+ DoD and Civil Airworthiness Experience
+ Design and Systems Engineering experience in one or more of the following areas: Structures, Flight Technology, Air Vehicle Subsystems, Avionics, Mission Payload Integration, System Safety, Flight Test, Configuration Management with an emphasis is on Mission Payload Integration
+ Experience with US Navy and USAF Airworthiness Policy Directives, Instructions, and Airworthiness Bulletins (AWB) especially NAVAIR Instruction 13034.1G and AWB-003
+ Control Account Management (CAM) and Earned Value Management System (EVMS) experience including Integrated Master Schedule (IMS) planning and tracking, Earned Value reporting, variance analysis, and Estimate at Complete (EAC) forecasting
+ Interactions with airworthiness authorities (US DoD, civil authorities both domestic and international, and Foreign Defense) with an emphasis on NAVAIR ACO and Class Desks
+ A current active U.S. Government in-scope DoD Top Secret security clearance and the ability to obtain and maintain Special Access Program (SAP)
**Salary Range:** $139,000 - $208,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10125369UtahUTStaff Airworthiness EngineerNone8B8C542ADBFF452D9C14641F14E485B3http://northropgrumman-veterans.jobs/8B8C542ADBFF452D9C14641F14E485B323Edwards AFBNorthrop GrummanUnited StatesUSA2024-03-27 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aerospace Systems sector has an opening for a System Safety Engineer. This position is located at Edwards Air Force Base, California, and the position will require your w illingness to work various shifts based on the business needs, as well as the ability to work non-standard hours and multiple shifts on occasion. Overtime, odd shifts, and weekend work will occasionally be required.
You will be assigned to develop and implement the program's mishap prevention program. This would utilize your knowledge and experience of Air Force and Safety and Hazard Analyses. Additionally, this role will need your previous experience implementing/developing Mishap Response Plans, as well as familiarity with facility requirements for aircraft operations (NAS 3306).
**Essential Functions:**
+ Assist in aircraft manufacturing and system testing.
+ Review product systems, associated support equipment and facilities, functional specifications and operations, and establishes safety requirements for assigned systems.
+ Independently analyze and recommend safety criteria in system design, equipment and procedures to control or mitigate hazards.
+ Develop safety documents as required to support customer and test/operational range requirements.
+ Perform audits of operational areas and reports effectiveness of the mishap prevention program.
+ Participate in mishap investigations involving damage to products or related facilities and assists in identification of causes and corrective actions.
+ Evaluate the interrelationship of system safety requirements and other aspects of concept design and develop requirements to ensure the most cost-effective and safe program.
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
+ Educational assistance is available to employees who want to continue to learn and develop skills/knowledge. Our educational assistance program supports employee development by providing resources such as:
+ Free Education Advice: Call 855-222-2398 to speak with an experienced advisor to tailor an educational program to your career goals and needs.
+ Tuition Savings at Accredited Schools: Access a network of accredited schools in a variety of locations (and online) to take advantage of reduced tuition costs and waived fees.
+ Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees!
**Basic Qualifications:**
+ Bachelor's Degree of Science in a STEM (Science, Technology, Engineering or Mathematics) discipline with 5 years of relevant professional / military Systems Engineering experience OR 3 years experience with a Master's Degree or a PhD.
+ Must have an active DoD Secret or higher clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation).
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance
**Preferred Qualifications**
+ Minimum of 6 years of direct experience in a System Safety related capacity.
+ Experience with aircraft subsystem design, test data requirements, and test methodologies used in the aerospace industry, to include control room monitoring.
+ Flight Line experience.
+ Experience in System Safety Engineering practices in accordance with MIL-STD-882
+ Experience in Process/contract compliance (DCMA1 8210.1)
+ Experience conducting system safety hazard analyses (i.e., PHA, FHA, SHA, SSHA, O&SHA)
+ Experience working around aircraft and systems, including manufacturing and test
+ Experience implementing/developing Safety Analysis plans/reports (i.e., SSPP, SAR)
+ Experience using a Hazard Tracking System (HTS)
+ Experience implementing/developing of Test Hazard Analysis for flight test activities (AFTCI 91-202)
+ Familiar with Air Force processes for mishap prevention, notification, investigation, and reporting (DoDI 6055.07, AFI 91-202, AFMAN 91-223)
+ Requirements of fuel system servicing and maintenance (Air Force Technical Orders 00-25-172 and 1-1-3)
+ General requirements of aircraft hangars (NFPA 409, UFC 4-211-01)
+ Environmental requirements for electrical and electronic equipment and wiring (NFPA 70).
**Salary Range:** $107,300 - $160,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Edwards Afb, CAR10139463CaliforniaCAPrincipal Systems Engineer (Active Secret Clearance Required; Edwards AFB CA)NoneA84DF74159EC434AA767A75CAC9D6FCFhttp://northropgrumman-veterans.jobs/A84DF74159EC434AA767A75CAC9D6FCF23ClearfieldNorthrop GrummanUnited StatesUSA2024-03-27 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
**Northrop Grumman Aeronautics Systems has an opening for a Principal Analysis Engineer (Stress MRB) or Senior Principal Analysis Engineer (Stress MRB).**
The successful candidate will be part of a team that provides MRB analysis to support repair and design solutions of flight hardware. **This position is located in Clearfield, UT will support a 3/12 shift (12-hour shifts, Friday - Sunday).**
**May be eligible for shift premium in addition to base pay**
You will be a part of an Integrated Product Team supporting production and new product designs that maximize the use of metallic and composite materials for state of the art commercial and military programs. We collaborate with internal engineering teams and departments to address and resolve issues and ensure the on-time delivery of structures and assemblies to our customers. Our team works with cutting edge exotic materials and novel products to innovate within our mission, working across the facility supporting large composite structures. Are you interested in working on state-of-the-art composites? Come join us!
**Essential Functions:**
+ Provides stress analysis to support dispositions of flight hardware
+ Candidate will substantiate repairs for discrepant parts and assemblies fabricated from composite materials.
+ Strong communication and collaboration skills are a must. Knowledge of metallic or composite primary and secondary aircraft structures or subsystems.
+ Performs finite element modeling of metallic and composite material components
+ Static, fatigue, dynamics, damage tolerance and other structural analysis methods are highly desirable
Northrop Grumman provides a comprehensive suite of benefits, and we have won awards for our work-life balance! Business travel is less than 10%.
**This role may be filled at either the Principal Analysis Engineer (Stress MRB) or Senior Principal Analysis Engineer (Stress MRB) level. Qualifications for these levels are as follows:**
**Principal Analysis Engineer:**
+ Bachelor's Degree in STEM field (Science, Technology, Engineering or Mathematics) with 5 years of related engineering experience; OR a Master's Degree in a STEM field with 2 years of related experience; OR a PhD in a STEM field with 0 years of experience.
+ Experience with composite and metallic materials including allowables
+ Experience with finite element analysis
+ Ability to obtain and maintain a DoD Secret Security Clearance. This clearance must be obtained prior to start and must be obtained within a reasonable amount of time as determined by the company to meet its business needs.
+ Ability to obtain and maintain Special Program Access (PAR). This PAR must be obtained prior to start and must be obtained within a reasonable amount of time as determined by the company to meet its business needs.
**Senior Principal Analysis Engineer**
+ Bachelor's Degree in STEM field (Science, Technology, Engineering or Mathematics) with 9 years of related engineering experience; OR a Master's Degree in STEM and 7 years of related engineering experience; OR a PhD in a STEM field with 4 years of relevant experience.
+ Experience with composite and metallic materials including allowables
+ Experience with finite element analysis
+ Ability to obtain and maintain a DoD Secret Security Clearance. This clearance must be obtained prior to start and must be obtained within a reasonable amount of time as determined by the company to meet its business needs.
+ Ability to obtain and maintain Special Program Access (PAR). This PAR must be obtained prior to start and must be obtained within a reasonable amount of time as determined by the company to meet its business needs.
**Preferred Qualifications for both levels:**
+ Practical understanding of composite structures
+ Experience with structural repair for composite and metallic structures
+ Experience with finite element software such as Femap, Nastran, Abaqus.
+ General understanding of Aerospace practices, policies, and procedures
+ Detail orientated, self-starter, ability to work both independently and in a team environment
+ Experience with material allowable database
+ Experience leading engineers in structural analysis
+ Active DoD Secret clearance
**Salary Range:** $90,400 - $135,600
**Salary Range 2:** $112,000 - $168,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10123387UtahUTPrincipal Analysis Engineer (Stress MRB) or Senior Principal Analysis Engineer (Stress MRB) (Weekend Days)NoneB71DBDE25464480AA8868ACDB8168233http://northropgrumman-veterans.jobs/B71DBDE25464480AA8868ACDB816823323NorthridgeNorthrop GrummanUnited StatesUSA2024-03-27 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identifies as veterans, and more than 1,600 are reservists. The Northrop Grumman Military Internship Program (NG-MIP) is an approved.
SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last **6 months of service** , for up to **180 days** . The Northrop Grumman Military Internship Program is open to all ranks and experience levels. SkillBridge participants are **not eligible for compensation** from Northrop Grumman, as they continue to receive military compensation and benefits as **Active-duty service members** .
**Responsibilities for this internship position are:**
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for Skillbridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
Goals - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
Objectives - Service Members who complete the Intern program will be highly trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day.
1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
Outcome - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
- Has served at least 180 days on active duty
- Is within 12 months of separation or retirement
- Will receive an honorable discharge
- Has taken any service TAPS/TGPS
- Has attended or participated in an ethics brief within the last 12 months
- Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship
Northrop Grumman Defense Systems has an opening for an **Associate Systems Engineer** to join our team of qualified, diverse individuals. The position will be in **Northridge, CA.** The Systems Engineer will be responsible for coordination and collaboration with diverse engineering design teams to provide imaginative, technical solutions to a wide range of difficult problems in establishing and managing the technical baseline in support of review milestones. The candidate will be executing tasks in system engineering functions. The position requires systems thinking and working knowledge of the full development life-cycle processes that acknowledge technical, schedule, and cost constraints. Candidate must exhibit strong leadership characteristics in coordinating with multiple teams and managing tasks.
**Responsibilities:**
+ Develop, maintain, and execute test plans for products ranging from components to sub-assemblies to vehicle-level systems.
+ Operate and/or support complex test systems and specialized test equipment.
+ Plans detail operations from digital models, blueprints, engineering orders, change notices, and other design releases.
+ Support Root Cause and Corrective Action (RCCA) investigations.
+ Support updates to Interface Control Documents (ICDs), Interface Change Notices (ICNs), technical manuals, technical specifications, and system/subsystem documentation for the customer/end user
+ Generate manufacturing documentation, e.g., Acceptance Test Plans, Acceptance Test Procedures, Assembly Instructions, based on system/subsystem designs Support various technical meetings, such as Material Review Boards (MRB), Change Control Board (CCB), Engineering Review Board (ERB), to understand the latest configuration(s) of the system/subsystem integration and designs and assess impact.
+ Analyze and review data to ensure that hardware is operating as expected utilizing numerical data analysis tools to interpret test results.
+ Write test reports that include test results and conclusions based on the data collected
+ Provide systems engineering support as part of a trouble shooting teams with systems engineering expertise in multiple areas (e.g., RF, Mechanical, Electrical, and Material Properties)
+ Track individual metrics, maintain notebook, capture lessons learned and undocumented process details.
**Basic Qualifications:**
+ Bachelor's Degree in a Science, Technology, Engineering or Mathematics discipline from an accredited university with 0 years of experience in engineering; OR a Master's Degree in a Science, Technology, Engineering or Mathematics discipline from an accredited university with 0 years of experience in engineering
+ Familiarity with working with electronic equipment
+ An active in-scope DoD Secret clearance
+ The ability to obtain program access (PAR). This PAR must be obtained within a reasonable amount of time as determined by the company to meet its business needs.
+ Meets DoD SkillBridge Qualifications as per DODI 1322.29
**Preferred Qualifications:**
+ Engineering degree in Mechanical Engineering, Electrical Engineering, Aerospace Engineering, or Engineering Physics; Master's Degree.
+ Experience working on military aircraft / weapons systems / spacecraft systems.
+ Experience in developing, managing, and decomposing requirements.
+ Experience in system architecture, CONOPs, or model-based system engineering.
+ Experience in ICD development and integration.
+ Demonstrated communication and technical writing skills.
+ Proven ability to brief technical presentations to stakeholders.
+ Ability to read and understand blueprints, technical specifications, and operating procedures.
+ Ability to interpret electrical schematic diagrams and mechanical drawings.
+ MBSE and Agile Systems Engineering Experience.
+ Experience with DOORS, Confluence, and JIRA.
+ Familiarity with RCCA process.
+ Prior experience working in an AS9100 environment.
+ Experience working with RF or Electronics sensor systems.
+ Experience in configuration management process using a product lifecycle management tool, e.g., Teamcenter, Windchill, StarTeam
DSSB-EN
**Salary Range:** $68,100 - $102,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Northridge, CAR10073510CaliforniaCAAssociate Systems Engineer (SkillBridge)NoneDD64BAEF40654042A52EA6123C0DDBC4http://northropgrumman-veterans.jobs/DD64BAEF40654042A52EA6123C0DDBC423ClearfieldNorthrop GrummanUnited StatesUSA2024-03-27 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
**Northrop Grumman Aeronautics Systems** has an opening for a **Principal Structural Engineer OR** **Senior Principal** **Structural Engineer** in any of the following areas:
+ Composite Analysis
+ Fatigue/Durability & Damage Tolerance of Metals
+ Structural Testing
+ Tooling Structural Analysis
+ Production Material Review Board (MRB) Analysis Support
You will join a team providing stress analysis to support new product designs and production of both metallic and composite aircraft structures. The projects within our scope offer opportunities for team leadership, project management, and diverse technical assignments.
Our Aerospace Structures Business Unit (ASBU) team works to develop the latest composite structures for military/commercial aircraft and space launch structures and are leaders in next-generation structure development.
We enjoy a diverse range of assignments and collaborate with the larger Northrop Grumman team to provide innovative solutions for complex and exciting problems. Would you like to work on the cutting edge of aerospace? Come join our team!
**Responsibilities:**
+ Develop solutions to structural analysis problems for aircraft platforms involving composite laminates, metallics, sandwich structure, and large bonded and bolted assemblies
+ Support trade study designs, new project initiatives, airframe sizing, Independent Research & Development projects, and structural testing activities
+ Support material review board (MRB) activity for non-conforming parts and provide structural recommendations to substantiate dispositions
+ Perform structural analysis using classical methods, commercial tools, and in-house codes for multidisciplinary technical problems
+ Understand the methods of analyzing composite structure and overall requirements for certification
+ Build, analyze, and post-process Finite Element Models (FEMs) using Femap, Simcenter NX, and Nastran
+ Document structural analysis methods, margins, and implementation in final reports and propose new methods depending on the needs of the project
We work a **9/80 work week** , which gives us every other Friday off to pursue our passions outside the office. Northrop Grumman offers a full suite of benefits to our employees and are regularly voted among the top companies for work/life balance.
Our Clearfield, Utah location is located adjacent to Hill Air Force Base between Ogden and Salt Lake City and is surrounded by the beautiful Wasatch Mountains which provide numerous opportunities for outdoor recreation. Do you enjoy skiing, climbing, hiking, or biking? Picture yourself in Utah!
**This position may be filled at either the Principal Structural Engineer OR Senior Principal Structural Engineer level based on the qualifications below.**
**Basic Qualifications for Principal Structural Engineer:**
+ Bachelor's Degree in STEM (Science, Technology, Engineering or Math) and 5 years engineering work experience; OR a Master's Degree in a STEM field with 3 years of engineering work experience; OR a PhD in a STEM field with 0 years of experience.
+ Experience performing static structural analysis of composite or metallic parts for primary aircraft structure
+ Experience in finite element analysis theory and application using industry standard structural analysis software such as Nastran, Femap, or NX Simcenter
+ Experience in writing technical reports and communicating data in a clear and concise manner
+ Ability to obtain and maintain a DoD Secret Clearance. This clearance must be obtained within a reasonable amount of time as determined by the company to meet its business needs.
+ Ability to obtain and maintain Special Program Access (SAP). This SAP must be obtained within a reasonable amount of time as determined by the company to meet its business needs.
**Basic Qualifications for Senior Principal Structural Engineer:**
+ Bachelor's Degree in STEM (Science, Technology, Engineering or Math) and 9 years of related engineering experience; OR a Master's Degree in a STEM field and 7 years of related engineering experience; OR a PhD in a STEM field and 4 years of engineering experience.
+ Experience performing static structural analysis of composite or metallic parts for primary aircraft structure
+ Experience in finite element analysis theory and application using industry standard structural analysis software such as Nastran, Femap, or NX Simcenter
+ Experience in writing technical reports and communicating data in a clear and concise manner
+ Demonstrated leadership experience of a technical team and experience mentoring junior engineers
+ Ability to obtain and maintain a DoD Secret Clearance. This clearance must be obtained within a reasonable amount of time as determined by the company to meet its business needs.
+ Ability to obtain and maintain Special Program Access (SAP). This SAP must be obtained within a reasonable amount of time as determined by the company to meet its business needs.
**Preferred Qualifications for both levels:**
+ Bachelor's or Master's Degree in Aerospace, Mechanical, or Civil Engineering
+ Experience with any of the following software packages: Simcenter NX, AFGROW, Abaqus CAE, Femap, Nastran
+ Previous participation on an aircraft development program performing Structural Analysis
+ Experience in structural test planning, analysis support, and post-test data reduction
+ Experience supporting material review board (MRB) non-conformance dispositions
+ Experience using programming to script new methods for structural calculations using Python, MATLAB, or Visual Basic
+ Active DoD Secret Security Clearance
**Salary Range:** $90,400 - $135,600
**Salary Range 2:** $112,000 - $168,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10125264UtahUTPrincipal Structural Engineer OR Senior Principal Structural EngineerNoneE20C1A8DB9BA452BB5BC73163FBA4E4Fhttp://northropgrumman-veterans.jobs/E20C1A8DB9BA452BB5BC73163FBA4E4F23MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:48At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Principal / Senior Principal Aeronautical Engineer - Stress Analyst** to join our team of qualified, diverse individuals within our Test and Evaluation organization. This role is located in **Melbourne, FL** .
**This role may be filled at the Principal OR Senior Principal level based on qualifications, below**
In this role, you will work as an experienced stress analyst to perform analysis on test equipment, structures, instrumentation and air vehicle components. The stress analyst is responsible for the detailed Finite Element Modeling and structural analysis of precision and complex test articles and systems that primarily support Northrop Grumman's advanced air vehicles.
**Key Responsibilities:**
+ Interpret and develop requirements and analytical models
+ Perform stress and load analysis
+ Document report, and present analysis to ensure all components satisfy program needs.
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**Basic Qualifications (Principal Aeronautical Engineer - Stress Analyst):**
+ Must have a Bachelor of Science degree in a Science, Technology, Engineering, or Math (STEM) discipline AND 5 years of related professional/military experience OR a Master of Science degree in a STEM discipline AND 3 years of related professional/military OR a STEM Ph.D. AND 0 years of related professional/military experience
+ Must have an active DoD Secret or higher clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation)
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance prior to the commencement of employment
+ Must have knowledge of classical stress analysis hand calculation & equations
+ Must have the ability and willingness to travel 10% of the time.
**Basic Qualifications (Senior Aeronautical Engineer - Stress Analyst):**
+ Must have a Bachelor of Science degree in a Science, Technology, Engineering, or Math (STEM) discipline AND 9 years of related professional/military experience OR a Master of Science degree in a STEM discipline AND 7 years of related professional/military OR a STEM Ph.D. AND 4 years of related professional/military experience
+ Must have an active DoD Secret or higher clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation)
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance prior to the commencement of employment
+ Must have knowledge of classical stress analysis hand calculation & equations
+ Must have the ability and willingness to travel 10% of the time.
**Preferred Qualifications:**
+ Bachelors or Masters Degree in Mechanical or Aerospace Engineering
+ Intermediate knowledge of FEMAP/NASTRAN and NX FEA software
+ Strong technical written and communication skills
+ Parametric modeling CAD software experience
+ 5+ years relevant job experience performing linear static stress analysis
+ Demonstrated proficiency with NASTRAN software
+ Demonstrated proficiency with FEMAP or NX CAE software
+ Demonstrated strong technical writing & interpersonal skills, and the ability to communicate and present technical material to various stakeholders
+ Understanding of material fatigue
+ Understanding of Dynamic Analysis, including shock and vibration
+ Composite material experience
**Salary Range:** $90,400 - $135,600
**Salary Range 2:** $112,000 - $168,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10138095FloridaFLPrincipal / Senior Principal Aeronautical Engineer - Stress AnalystNoneF74784B676DA46F98D4ECFE54608501Fhttp://northropgrumman-veterans.jobs/F74784B676DA46F98D4ECFE54608501F23Rolling MeadowsNorthrop GrummanUnited StatesUSA2024-03-27 06:15:47At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Northrop Grumman Defense Systems Sector is searching for an experienced, detail-oriented light mechanic and painter to join our organization in Rolling Meadows IL that is ready to use their knowledge and experience to help us sustain customer requirements.
The successful candidate will be responsible for repairing and painting a variety of surfaces and product.
**Job Responsibilities:**
-Repair and paint mechanical items to drawing.
-Repair cracks, dents, holes, and other minor surface damage to product.
-Install various inserts or helical coils into properly prepared holes in accordance with MIL SPECS.
-Follow all workplace health and safety rules.
-Properly mix paints and primers to achieve desired consistency.
-Comply with a tool control program.
-Assist in monitoring overhead material supplies and identify any shortages.
-Make stencils as required to support product.
-Use fixtures and assembly aides as required to properly assemble and repair product.
-Wear appropriate Personal Protective Equipment to include hearing protection, tyvek painter suit and a respirator as required.
-Maintain a clean and tidy work area.
-Give estimates as required to facilitate repairs
-Work well with others.
-Manage job details and document all repair actions on appropriate paperwork.
**Basic Qualifications:**
-High school diploma or GED
-2+ years of experience spray painting.
-2+ years of mechanical experience.
-Valid drivers License, clean driving record and reliable transportation
-Knowledge of spray-painting techniques.
-Able to sit and stand while working.
-Great attention to detail, positive attitude, and strong work ethic
-Can work independently and as part of a team environment.
-Can communicate well.
-Able to follow verbal and written instructions.
-Friendly with good interpersonal skills.
**Preferred Qualifications:**
-4+ years experience spray painting.
-4+ years experience performing light mechanical repairs.
-Certified for spray painting.
NGSkils
**Salary Range:** $30 - $30
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Rolling Meadows, ILR10132085IllinoisILSCA Machinery Maintenance MechanicNone0FEB68DD21914B0DB6204C5DC7420491http://northropgrumman-veterans.jobs/0FEB68DD21914B0DB6204C5DC742049123CorinneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:47At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is currently seeking a **Non Destructive Test Tech 3** to join our Nondestructive Testing (NDT) team at our **Promontory, Utah** office.
We are seeking individuals to enter a highly rewarding career path in Nondestructive Testing. These positions within the Quality organization are responsible for critical inspections of aerospace assemblies. For this position, technicians are required to provide prior NDT experience documentation and certification that will meet NAS 410 current revision requirements. Technicians with prior NDT experience, including existing Level 2 certifications, will be trained to inspect product for NASA and other customers.
• The primary focus will be in the area of radiographic inspection (RT) of rocket motors using digital systems and Ultrasonic Testing inspection (UT) of metal and composite components. Personnel currently holding other Level 2 or 3 certifications are encouraged to apply.
• Support of other NDT methods will include Magnetic Particle (MT), Dye Penetrant (PT), Eddy Current (ET), Infrared Thermography (IRT), and/or Leak Testing (LT)
• Handling and movement of energetic and non-energetic parts and components is required to perform inspections.
• Continual cross train in other NDT methods will be provided if not already certified.
• **Overtime, weekend, and shift work will be required**
**Experience Requirements for level 3:**
• Current Level 2 certification in radiographic inspection (RT) with a minimum of documented 4 years of aerospace experience in a quality organization utilizing a Level 2.
• Current Level 2 certification in any of the following methods: ultrasound, eddy current, dye penetrant, magnetic particles, leak testing.
• Ability to provide certification and experience records per NAS 410 is a necessity.
• High School Diploma or equivalent GED (education will be verified)
• Must pass the 20/25 Snellen or Jaeger No. 1 vision test for NAS 410 certification
• Advanced PC skills including proficiency with MS Office products, and electronic planning and drawings.
• Demonstrated written and oral communication skills in English.
• Experience with specification and drawing interpretations.
• Familiarity with basic dimensional inspection equipment/ hand tools.
• Perform physical requirements of this position including lifting up to 50 lbs.
• Excellent attendance and punctuality, ability to work over time, and other shifts as required
• Interface with other sources; i.e., functional areas, customers, source personnel and government representatives for acceptance of hardware at required inspection points. Perform other duties as required.
• Must be a U.S. Citizen Able to obtain Employee Possessor designation from the Federal Bureau of Alcohol, Tobacco and Firearms
**Experience Preferred:**
• Background in NDT of Aerospace products
• 5+ years of documented hours as an NDT Level 2 inspector in at least two NDT methods; in order of preference: Radiography (RT), Ultrasound (UT), Eddy Current (ET), Dye Penetrant (PT), Magnetic Particle (MT). RECORDS REQUIRED
**Salary Range:** $53,300 - $88,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Corinne, UTR10133209UtahUTNon-Destructive Test Technician 3None2A53908779D24252A3C2C9F716BF4041http://northropgrumman-veterans.jobs/2A53908779D24252A3C2C9F716BF404123HuntsvilleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:47At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Northrop Grumman Defense Systems (NGDS) is looking for you to join our team as a Program Manager for the C4MD Business Unit's Integrated Defense Solutions Operating Unit in Huntsville, AL. As the Program Manager, you will be responsible for technical, cost and schedule performance of a multi-disciplinary team that designs, develops, documents, tests, and integrates innovative new technical solutions. This role will also have accountability for and participate in the strategy to grow our business.
Responsibilities include:
+ Provide program management oversight to a cross functional team including engineering, business management, project team leads, and line management.
+ Oversee and manage the strategic planning, metrics development and other aspects of new and ongoing work.
+ Supports program reviews including status of program projects and budgets
+ Responsible for the assessment of program issues and develops resolutions to meet productivity, quality, and client-satisfaction goals and objectives.
+ Lead efforts to develop and compile cost, schedule, and technical elements in the development of proposals in accordance with request for proposal (RFP) specifications across program and functional organizations.
+ Identify and address program impacts through a systematic, proactive, approach to issue and problem resolution that identifies, communicates, monitors, and promptly resolves conflicts across all levels of the program
+ Plan for, identify, estimate, cost, acquire, schedule, and retire resources needed to satisfy program requirements within organizational constraints
**Basic Qualifications:**
+ Bachelor's Degree with 9 years of aerospace, military, or other relevant experience
+ Minimum of 3 years leadership experience in a team environment
+ Must have an active DoD Secret or Top-Secret Clearance
+ Business Acumen: The ability to apply knowledge, insights and understanding of business and financial concepts, tools, and processes to the benefit of program decisions, actions, and performance
+ Demonstrated leadership skills to include: customer interface skills; large-team organizational and communication skills; executive communication, presentation, and collaboration skills
**Preferred Qualifications:**
+ Understanding of organizational financial management and reporting (Annual Operating Plan) and experience in program-level financial management and reporting (Earned Value Management, Control Account Management, Risk Management)
+ Familiarity with agile SW development programs
+ Experience developing and managing engineering product lines
+ Program management experience in a defense technical industry
+ Financial experience in EVMS or similar cost and schedule management systems
+ Understanding of DevSecOps and Continuous Integration/Continuous Deployment (CI/CD) concepts
+ Active Top Secret Clearance
**Salary Range:** $145,000 - $217,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Huntsville, ALR10147924AlabamaALManager Programs 2None3665B0310E68404C9468BE7897A20D6Chttp://northropgrumman-veterans.jobs/3665B0310E68404C9468BE7897A20D6C23NorthridgeNorthrop GrummanUnited StatesUSA2024-03-27 06:15:47At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined efforts means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.**
**Northrop Grumman is seeking a** **Principal / Sr. Principal Industrial Security Analyst** **to join our team in in** **Northridge, CA.**
**T** **his position requires the selected candidate to be on-site.**
**Responsibilities:**
+ **Develops, and administers security programs and procedures for classified or proprietary materials, documents, and equipment.**
+ **Studies and implements federal security regulations that apply to company operations.**
+ **Obtains rulings, interpretations, and acceptable deviations for compliance with regulations from government agencies. Prepares manuals outlining regulations, and establishes procedures for handling, storing, and keeping records, and for granting personnel and visitors access to restricted records and materials.**
+ **Conducts security education classes and security audits. Investigates security violations and prepares reports specifying preventive action to be taken.**
+ **The CPSO may support multiple SAPS' and SCI Programs.**
**Principal Industrial Security Analyst:**
**Basic Qualifications:**
+ **High school diploma and 10 years of related security experience. Will consider a BA + 6 years of experience or a MA with 4 years of experience in related field.**
+ **Must have an active Security Clearance.**
+ **Fully proficient knowledge of a strong security program in compliance with the Department of Defense Manual 5205.07 Vol 1-4; National Industrial Security Program Operating Manual (NISPOM), Department of Defense (DoD) Manual (DoDM) 5205.07, Volume 1, DoD Special Access Program (SAP) Security Manual; General Procedures, 18 June 2015, Incorporating Change 1, Effective 12 February 2018 DoDM 5205.07, Volume 2, DoD Special Access Program (SAP) Security Manual; Personnel Security, 24 November, 2015, Incorporating Change 1, Effective 12 February 2018 DoDM 5205.07, Volume 3 & 4 DoD Special Access Program (SAP) Security Manual; Physical Security, 23 April 2015, Incorporating Change 2, 12 February 2018.**
+ **Proficient in Communications Security (COMSEC) Manual NSA/CSS 3-16, Director of National Intelligence (DNI), Intelligence Community Directives (ICDs), program specific Program Security Directives (PSD) and associated corporate and government policies and directives.**
**Senior Principal Industrial Security Analyst:**
**Basic Qualifications:**
+ **Must have a high school diploma or GED with at least 14 years of related experience; OR 10 plus years of experience with a bachelor's degree; OR 8 plus year of relevant experience with a master's degree**
+ **Candidate must have a DOD** **Top Secret** **clearance**
+ **Must** **have completed the CDSE Certificate of training for FSO for Possessing Facilities and have experience and working knowledge of systems of record (e.g., NISS and DISS)**
+ **Organized and efficient at time management**
+ **Computer and desktop Microsoft Office software products experience (Word, Excel etc.)**
+ **Must be detail-oriented, have meticulous record-keeping, and be responsible for accurate data input into multiple databases/spreadsheets**
+ **Must** **have experience and knowledgeable with NISPOM (32 CFR Part117)**
**Preferred Qualifications:**
+ **Contractor Program Security Officer (CPSO) experience**
+ **Self-starter with minimal supervision**
+ **DCID 6/4 or ICD 704 eligibility in DISS**
+ **Personnel and Program Security Experience**
+ **Familiarity with 32 CFR Part 117 (NISPOM) and DoD 5205.07 manuals**
+ **Ability to display tact, discretion, and diplomacy in a variety of program areas**
+ **Ability to excel in a fast-paced, deadline-driven environment**
+ **Excellent communication, speaking, writing, and organizational skills to enable effective communication**
+ **Experience with SIMS, DISS, and JADE**
+ **Counterintelligence, Insider Threat and OPSEC program experience**
+ **Current and working knowledge of the DoD and Intelligence Community**
**Salary Range:** $88,700 - $133,100
**Salary Range 2:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Northridge, CAR10137175CaliforniaCAPrincipal Industrial Security Analyst/ Sr. Principal Industrial Security AnalystNone3B65C2AD58224CE7990816FEDFA64567http://northropgrumman-veterans.jobs/3B65C2AD58224CE7990816FEDFA6456723PalmdaleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:47At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Climb to new heights on your journey when you start Defining Possible with Northrop Grumman. Enjoy a purposeful career in aeronautics that is crucial to the way we connect and protect our world across land, sea, and air. Bring your experience and take advantage of this opportunity to discover how you can start to push past possible and achieve your goals today. Enjoy a diverse, collaborative environment with professionals across the nation ready to help launch your career.
Northrop Grumman Aeronautics Systems (NGAS) is looking for a Non Destructive Test Tech 3/4 for programs located in the Palmdale, CA facility within the Quality department.
Responsibilities include:
+ Performs non-destructive tests (NDT) on aircraft engines or components.
+ Inspects metallic and nonmetallic materials, parts and assemblies for defects using automated and manual methods and techniques including radiography, ultrasonic, penetrant, eddy current, shearography, thermography and magnetic particle.
+ Requires certifications in non-destructive testing in accordance with regulations.
B **asic Qualifications Level 3:**
+ High School Diploma/GED and 4 years of Non Destructive Test experience
+ Able to be subject to areas which could cause various phobias due to height or close spaces
+ Must be willing to work any shift, overtime or non-standard work schedule
+ This position requires an active DOD Secret Clearance and the ability to obtain Special Program Access within a reasonable period of time, as determined by the company to meet its business needs
B **asic Qualifications Level 4:**
+ High School Diploma/GED and 6 years of Non Destructive Test experience
+ Able to be subject to areas which could cause various phobias due to height or close spaces
+ Must be willing to work any shift, overtime or non-standard work schedule
+ This position requires an active DOD Secret Clearance and the ability to obtain Special Program Access within a reasonable period of time, as determined by the company to meet its business needs
**Preferred Qualifications:**
+ Certification in Multiple Non Destructive Inspection (NDI) Disciplines
+ 2 Year degree/certification from NDI Training Institution
+ Mobile Automated Ultrasonic System experience
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.
**Salary Range:** $58,300 - $97,100
**Salary Range 2:** $69,200 - $115,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10132228CaliforniaCANon Destructive Test Technician - Tech 3/4None8A9F315D96A8494293E2971BDE85FEABhttp://northropgrumman-veterans.jobs/8A9F315D96A8494293E2971BDE85FEAB23Redondo BeachNorthrop GrummanUnited StatesUSA2024-03-27 06:15:47At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Northrop Grumman Aeronautics Systems (NGAS) has an opening for a Staff Electro-Mechanical Engineer to join our team of qualified, diverse individuals. This position will be located in Redondo Beach, CA.
**Position Overview:**
You'll be joining the Vehicle Integration (VI) organization. The VI organization provides innovative research, technology development and engineering for aircraft advanced design, including mechanical design and mechanisms, thermal systems, environment control systems, secondary power systems, fuel systems, hydraulic systems, fire protection systems and electrical systems.
The selected candidate will apply electrical, electronic and mechanical principles to components and systems, including advanced design concepts, analysis, trade study evaluation and documentation of results, configuration development, and integration of assemblies, sub-assemblies and components into next higher assembly configurations. Position will require travel approximately 10%.
Responsibilities and duties:
+ Mechanisms Subsystems Responsible Engineer and Architect
+ Provide development support for aircraft mechanical and/or electro-mechanical systems.
+ Primary role is to provide mechanical and/or electro-mechanical support for aircraft systems across multiple aspects associated with the development, procurement, and integration of components associated with aircraft mechanical systems (mechanisms), such as requirements generation/derivation/verification, component analysis, supplier management, test data post processing, qualification, and shipside support to help troubleshoot issues.
+ Must be capable of working independently under minimal supervision and as part of a large multi-disciplined team.
+ Responsible for performing system related analysis in support of the development of aircraft mechanical subsystems, as well as integration to the vehicle management systems.
+ Responsible for creating layouts of mechanical system architecture, including integration of mechanical or electrical actuation related to door drive systems, utility mechanisms, uplocks, etc.
+ Responsible for performing responsible engineer activities, which include all aspects of system/component design and development including trade studies, layouts, architecture definition, system test & verification, and troubleshooting. These activities may also include participating on a subcontractor management team and will act as the technical point of contact with suppliers for hardware development, qualification, and procurement.
+ Responsible for system level test planning, execution support, verification, data processing, and troubleshooting.
+ Responsible for performing system level evaluations to verify requirements and ensure airworthiness criteria are met.
+ Participate in and attend internal program, customer and/or supplier technical exchange meetings and/or formal program milestone reviews to provide and/or obtain progress status updates.
The successful candidate will be a self-starter, well-organized with good problem-solving skills, able to perform effectively despite concurrent work tasks and will possess strong communication, leadership, team coordination and mentoring skills. The successful candidate will also thrive in the fast-paced advanced design work environment with high expectations, significantly diverse assignments, and collaborative/team settings across all levels.
**Basic Qualifications:**
+ Bachelor's Degree in a STEM (Science, Technology, Engineering or Mathematics) discipline from an accredited university and 14 years of engineering experience OR Master's Degree and 12 years of engineering experience OR a PhD. Degree and 9 years of experience.
+ Prior experience with aircraft mechanical systems and mechanisms
+ Prior experience with 3D Computer Aided Design (CAD) software applications
+ Familiarity with industry standard software application tools such as Microsoft Office (Word, PowerPoint, Excel, Outlook, Visio, etc.), Adobe Acrobat, etc.
+ A current active in-scope U.S. Government DoD Secret security clearance is required to start
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance.
**Preferred Qualifications:**
+ Master's Degree in Mechanical or Aerospace Engineering or related discipline
+ Experience with Siemens NX CAD application, including NX Motion module
+ Prior experience in leading a team of engineers
+ Prior experience in coordinating with multiple Integrated Product Teams (IPTs) within a dynamic program environment
+ Experience with suppliers and supplier coordination
**Salary Range:** $161,000 - $241,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Redondo Beach, CAR10129269CaliforniaCAStaff Engineer ElectromechanicalNoneA579946AA5BC4713B7E278D7B5057748http://northropgrumman-veterans.jobs/A579946AA5BC4713B7E278D7B505774823Falls ChurchNorthrop GrummanUnited StatesUSA2024-03-27 06:15:47At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is currently seeking a new **Corporate Director for Army Programs** with broad knowledge of Army munition programs and budgets as well as an understanding of the defense industrial base. Reporting to the Vice President, Army and Missile Defense Programs, the Director is responsible for establishing and maintaining effective customer relationships to foster an in-depth understanding of Northrop Grumman's munition products and development efforts. This individual will orchestrate high level customer interface with the Army and other customer organizations designated by the Vice President. This engagement will be accomplished in collaboration with other corporate and sector teams.
The position will be located onsite in Falls Church, VA
**Responsibilities will include, but are not limited to:**
+ Coordinate, integrate and synchronize corporate and sector customer engagements and campaign strategies
+ Facilitate the development of new business opportunities within customer markets
+ Support corporate and sector teams in the analysis of customer budgets and program plans and contribute to the development of strategic customer communication and contact plans
+ Provide inputs for the development of company priorities
+ Maintain awareness of significant Army policy, program, budget and legislative initiatives
**Basic Qualifications**
To be considered for this position, you must minimally meet the knowledge, skills and abilities listed below:
+ Bachelor's degree with at least 15 years of relevant DoD service experience
+ Strong knowledge of Army munition systems and the supporting acquisition and requirements organizations
+ An ability to analyze a broad range of issues, and a demonstrated capacity for strategic thinking to influence senior DoD civilian and military decision-makers
+ Experience with DoD budget and requirements process
+ A high level of confidence, integrity and a hands on approach to problem solving are required as well as a professional executive presence for interacting with and influencing DoD decision makers, senior management and executives internal and external to the company
+ Must be flexible and have demonstrated experience showing strong judgment/decision-making skills, and political acumen
+ Current DoD Secret security clearance, with the ability to obtain and maintain a Top Secret with SCI eligibility
+ Ability to work on site in Falls Church, VA
**Preferred Qualifications**
Candidates with these desired skills will be given preferential consideration:
+ Retired senior Army Officer or Senior Executive
+ A strong commitment and ability to work cooperatively in a team environment is paramount
**Salary Range:** $190,600 - $286,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Falls Church, VAR10154283VirginiaVADirector Government AffairsNoneAAE4276D2D9E471F8C6CF4B4D184C2EFhttp://northropgrumman-veterans.jobs/AAE4276D2D9E471F8C6CF4B4D184C2EF23San DiegoNorthrop GrummanUnited StatesUSA2024-03-27 06:15:47At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
**Job Description:**
Are you ready to put your experience to work at Northrop Grumman? If so, our Defense Systems (NGDS), F-35 Sustainment HPSI Operating Unit, has an opening for an Aircraft Mechanic 3 or Aircraft Mechanic 4 to join our team of qualified, diverse individuals. You will be assigned as an Aircraft Mechanic 3 or 4 (F-35 Avionics Technician) supporting F-35 organizational maintenance at MCAS Miramar (San Diego, CA). Your Mission will be to support O-Level maintenance on F-35.
* NOTE: This position may be filled as an Aircraft Mechanic 3 or Aircraft Mechanic 4 depending on the selected candidate's qualifications.
Your duties and responsibilities include (but are not limited to):
+ You will perform Maintenance, Repair, Launch, and Recovery activities on F-35 aircraft. Ensure aircraft are in exceptional mechanical condition and safe for flight by performing maintenance, inspections, and servicing requirements on all aircraft systems in accordance with JTD and all applicable program and service guidance.
+ You will perform and/or assist with Field-Level Modifications and TCTD maintenance actions.
+ You will assist with OJT training for team members and partner aircraft maintenance personnel.
+ You will maintain and updates aircraft records and status within the Computerized Maintenance Management System (CMMS) of ALIS. Keep the production staff updated on aircraft status.
+ You will perform other duties as assigned by the Aircraft Maintenance Manager.
+ You will perform required Airframe and Powerplant General (APG) tasks as part of F-35 Contractor Logistics Support (CLS) performing heavy/depot level modifications.
+ You will perform Maintenance tasks include configuring aircraft for modifications, removal, and installation of attaching hardware, component removal and installations, assistance with software uploads, various system operational checkouts, and egress system maintenance.
+ You will ensure technical requirements also include fault isolation, troubleshooting, and repair of system failures detected during operational checks associated with modifications and restoration of aircraft to flying condition after accomplishment of modifications and maintenance.
+ You will Interpret and use various test equipment and works from wiring diagrams, engineering drawings, job guides, and maintenance manuals.
+ You will be required to obtain special certifications (example: confined space, borescope, etc.) as locally required.
+ You must be able to obtain and keep a flightline driver's competency card. You must be able to work first or second shift, as directed by the Aircraft Maintenance Manager. You must be able to depart on temporary duty assignments- on short notice. You will practice good housekeeping and follow safety procedures and ensure both programs are enforced.
+ You must be able to obtain Ground Support Equipment Qualifications in support of F-35 Maintenance and Flight Operations.
+ You Must be willing to provide temporary support to our other CLS locations and/or emerging deployments.
+ You must be willing to work a flexible work schedule that may include extended shifts, holidays, and weekends and support on call status for 24 hours-a-day, 7 days-a-week, 365 days a year.
+ You must be Able to meet the physical demands of this position, including lifting 50 pounds and perform repetitive movements, work overhead, use hands to grasp, handle, and/or feel, and reach. You are frequently required to stand, walk, stoop, kneel, squat, crawl, and twist.
**Basic Qualifications:**
+ Aircraft Mechanic 3: High School Diploma or GED and a minimum of 4 Years of F-35 Avionics related maintenance including, maintaining/ troubleshooting avionic systems and software updates, relevant to the F-35 platform. Will consider Avionics experience in one of the following additional aircraft platforms AV-8B, F-22, F-18, F-16, or F-15; in lieu of F-35 Avionics experience.
+ Aircraft Mechanic 4: High School Diploma or GED and a minimum of 6 Years of F-35 Avionics related maintenance including, maintaining/ troubleshooting avionic systems and software updates, relevant to the F-35 platform. Will consider Avionics related experience in one of the following additional aircraft platforms AV-8B, F-22, F-18, F-16, or F-15; in lieu of F-35 Avionics experience.
+ US Citizenship Required.
+ Must have an Active Secret Clearance or higher.
+ Ability to obtain Special Access Program clearance, as required.
+ Ability to read and interpret technical data and blueprint drawings.
+ Must be able to obtain Collateral Duty Inspector Qualification within 18 months of position start date.
**Preferred/Desired Qualifications:**
+ U. S. Navy, or U. S. Marine Corps experience with thorough understanding of F-35 Fighter Aircraft Systems and operations under COMNAVAIRFORINST 4790.2 and 4790.35.
+ F-35 Collateral Duty Inspector Designation USMC / USN or level 5 or 7-skill level USAF AFSC.
+ F-135 Powerplant engine run certification, F-35 avionics systems, fighter aircraft crew escape systems (i.e., canopy and ejection seat), experience in structural repairs and low observable system maintenance are highly desirable.
+ Experience with launch and recovery, standard component removal and replacement, understanding of propulsion systems, understanding of structure maintenance to include knowledge of low observable maintenance processes and procedures.
+ Excellent communication skills and experience in a Military-focused Customer Service-Related Industry.
**Salary Range:** $62,900 - $104,900
**Salary Range 2:** $74,900 - $124,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10153953CaliforniaCAAircraft Mechanic 3/4 (Avionics - MCAS Miramar)NoneBF04B468F98B46F38105B86428708EC3http://northropgrumman-veterans.jobs/BF04B468F98B46F38105B86428708EC323ClearfieldNorthrop GrummanUnited StatesUSA2024-03-27 06:15:47At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aerospace Systems sector is seeking an **Aircraft Equipment Expeditor 2/3** to join our team of qualified, diverse individuals.
This position will be located in **Clearfield, UT** where technology and teamwork come together. The qualified applicant will become part of Northrop Grumman's Manufacturing organization working in a collaborative environment while promoting a positive and proactive teamwork environment.
****This position requires a willingness to work any shift including weekends and requires onsite presence due to the nature of the role.**
**Job Description:**
Responsible for the survey, control, dispatching, and follow-up of all types of tools pertaining to an assigned department or section of an aircraft, from control points, critical zones, and / or central control areas. Tools are in support of ground support and tooling efforts to allow for aircraft testing, launch and recovery efforts and system test equipment operations. Operate industrial equipment such as fork trucks, lift gates, tow tractors and hand trucks in the safe movement of equipment up to 9 tons. Anticipate and verify shortages and affix priorities to tool and equipment dispatch. Expedite to final destination by coordinating with requesting department (Engineering, Operations, Tooling, Planning, Material Control, etc.). Update equipment locations with CMMS and comply with all FAR requirements.
**Responsibilities:**
+ Review, control, dispatch, and follow-up of all types of tools and equipment within assigned call centers.
+ Operate industrial equipment safely, including forklifts, lift gates, tow tractors, 20-ton overhead cranes, and hand trucks for the movement of up to 9 tons of equipment.
+ Anticipate equipment shortages, determine priorities for tool and equipment dispatch, and expedite delivery by coordinating with relevant departments (Engineering, Operations, Tooling, Planning, Material Control, etc.).
+ Maintain accurate equipment locations using Asset Smart and ensure compliance with all FAR requirements.
**This requisition may be filled as a Level 2 or Level 3 depending on the years of relevant experience.**
**Level 2 Basic Qualifications:**
+ High school diploma or equivalent
+ Minimum of 2 years of experience in a similar role, preferably in the aerospace or manufacturing industry.
+ Minimum of 2 years of experience in driving Forklifts
+ Minimum of 2 years of experience in operating overhead crane
+ Assembly Tooling Knowledge
+ Ability to work inside and outside in a warehouse / shop floor environment.
+ DOD Secret Clearance
+ Ability to obtain Special Program Access within a reasonable timeframe as required by the business.
**Level 3 Basic Qualifications:**
+ High school diploma or equivalent
+ Minimum of 4 years of experience in a similar role, preferably in the aerospace or manufacturing industry.
+ Minimum of 4 years of experience in driving Forklifts
+ Minimum of 4 years of experience in operating overhead crane
+ Ability to work with limited supervision
+ Ability to train lower level expediters
+ Ability to work inside and outside in a warehouse / shop floor environment.
+ DOD Secret Clearance
+ Ability to obtain Special Program Access within a reasonable timeframe as required by the business.
**Preferred Qualifications**
+ Proficient in the use of industrial moving equipment and familiar with computerized maintenance management system (CMMS).
+ Knowledge of Federal Aviation Regulations (FAR) and safety standards.
+ Minimum of 3 years of experience in a similar role, preferably in the aerospace or manufacturing industry.
+ Minimum of 3 years of experience in driving Forklifts
+ Strong organizational skills and the ability to anticipate needs and prioritize tasks.
+ Excellent communication skills and the ability to work effectively with teams across various departments.
+ In Scope Special Program Access
**Salary Range:** $38,600 - $64,300
**Salary Range 2:** $45,800 - $76,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10153819UtahUTAircraft Equipment Expediter 2/3NoneC9E67E6788C6423FB4071A810DBC5953http://northropgrumman-veterans.jobs/C9E67E6788C6423FB4071A810DBC595323MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:47At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems** sector is currently hiring a **Sr.** **Principal Systems Engineer** **,** **Vehicle Management Systems - Flight Control Actuation Systems** to join our team of qualified, diverse individuals in **Melbourne, FL.** Current clearance preferred but not required .
**Job Summary:** A Vehicle Management Systems (VMS) Engineer focused on the Flight Control Actuation System (FCAS) designs and develops system architecture and defines key capabilities and performance requirements. Also defines design and technology maturity constraints of the system in accordance with customer specifications, developing thorough definition of system external interfaces, defining system implementation approach and operational concept, ensuring requirements are met, and evaluating performance with the customer.
**Responsibilities** **Include** **:**
+ Flight critical system design for aircraft control
+ Architecture design with optimized redundancy levels
+ Trade studies
+ Specification of hardware elements and technical support to procurement
+ Vehicle management software specification and oversight
+ Fault management design
+ Lab testing of flight hardware & software
+ On-aircraft testing
+ Support to vehicle flight testing
+ Anomaly resolution
+ VMS Specification development, requirements definition, functional modeling, and VMS testing
+ Support subsystems to VMS interfaces including managing requirements, design, testing and presenting updates to internal and external customers
**Basic Qualifications:**
+ Bachelor's Degree in a relevant Science, Technology, Engineering, or Mathematics (STEM) discipline with 9+ years of relevant experience; OR a Master's Degree in relevant STEM discipline with 7+ years of relevant experience; OR a Ph.D. in a relevant STEM discipline with 4+ years of relevant experience
+ Integration test engineering experience
+ Technical background in the fundamentals of actuation loop closure and hardware/software implementation
+ Technical background in actuation modeling using MATLAB/Simulink (or similar)
+ Ability to obtain and maintain a DoD Secret clearance and Special Access Program (SAP) clearance
**Preferred Qualifications:**
+ Current in-scope Secret clearance (or higher)
+ Technical background in electronics hardware and software certification
+ Experience with supplier contract closeout (i.e., FCA/PCA)
+ Technical background in hydraulic actuation system certification
+ Experience with supplier proposal review and supplier selection and coordination and technical and cost management
+ Technical background in writing the procurement specification for Hydraulic and electrical actuation
+ Experience managing the integration of the actuation system into the overall vehicle systems
**Salary Range:** $112,000 - $168,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10129017FloridaFLSr. Principal Systems Engineer, Flight Control Actuation SystemsNoneE24809EBBEB7453C9D1C810F218CEDAAhttp://northropgrumman-veterans.jobs/E24809EBBEB7453C9D1C810F218CEDAA23PinkenbaNorthrop GrummanAustraliaAUS2024-03-27 06:15:46You might know that our Mission is to solve our customers' most challenging problems across Space, Aeronautics and Mission critical systems to help safeguard Australia's future. What makes us really different, though, is that as part of one of the World's largest Defence organisations, we have access to capabilities and technology most can only imagine. And, here in Australia, we're growing so there are plenty of opportunities especially for those just starting out in their careers and looking for experience in a friendly, supportive and challenging environment. Here, everyone contributes and everyone matters!
**Your Apprenticeship:**
As part of our continuing growth and expansion in Australia - we are adding some new apprenticeship positions to be embedded in our Sustainment and Modernisation Operating Unit programs with the opportunities for Avionics, Structures & Mechanical apprentices.
Throughout your apprenticeship with Northrop Grumman Australia you can expect to perform line and base maintenance activities, in depth trouble shooting and rectifications, flight line operations and gained experience on multiple platforms within Northrop Grumman Australia including the KC-30A, C-27J & Special Purpose Aircraft fleets.
If you would like to register your interest in an Apprenticeship opportunity at Northrop Grumman Australia, please submit a CV and/or a Cover Letter with latest details and transcript of your Certificate IV in Aeroskills.
**What we Offer:**
Whatever your role, life stage or background, you can shape your career here in your way with highly challenging work, great colleagues and career development, plus a range of flexible benefits and working patterns.
+ Professional Development - further education, leadership development, professional industry memberships and unlimited access to a range of online training
+ Options for flexible & hybrid work including 9 day fortnight
+ Purchased annual leave
+ Salary packaging including novated car leases
+ Generous paid parental leave
+ Volunteer Leave
+ Health & Wellbeing program
+ Employee Assistance Program
**Everyone Matters:**
Doing the Right Thing and Sharing Success are two values underpinning how we behave at Northrop Grumman. Here, everyone matters and we proudly encourage individuals from all backgrounds and all abilities to apply to join our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.
As a Defence security clearance is required for this role, you must be an Australian Citizen. International Traffic in Arms Regulations (ITAR) are applicable, as such your nationality may be a factor in determining your suitability for this role. You will also need to satisfy pre-employment drug & alcohol testing, police checks and employment screening verification.
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.Pinkenba, AUSR10125210Expression of Interest - Aircraft Maintenance Engineering ApprenticeshipNone0D5F8A13DEA84A7FB3121CC4E67CDC71http://northropgrumman-veterans.jobs/0D5F8A13DEA84A7FB3121CC4E67CDC7123Woodland HillsNorthrop GrummanUnited StatesUSA2024-03-27 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our Emerging Capabilities Development organization pushes the boundaries of innovation, redefines capabilities, and drives advances in various sciences. Our team is chartered with providing an innovative technological capability across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
We are looking for you to join our team as an Embedded Software Architect based out of Woodland Hills, CA. As an Embedded Software Architect at Northrop Grumman, you will have a challenging and rewarding opportunity to be a part of our Enterprise-wide digital transformation. Using Model-based Engineering, DevSecOps and Agile practices we continue to evolve how we deliver critical national defense products and capabilities for the warfighter. Our success is grounded in our ability to embrace change, move quickly and continuously drive innovation. The successful candidate will be collaborative, open, transparent, and team-oriented with a focus on team empowerment & shared responsibility, flexibility, continuous learning, and a culture of innovation.
**What You'll get to Do:**
**The Future Positioning Navigation Timing Systems (FPNTS) team at Northrop Grumman Mission Systems (NGMS) is looking for a Senior Software Technical Leader to join our team as an Embedded Software Architect for Software Defined Receiver. This person will provide technical leadership of our Embedded Software Navigation R&D portfolio for military applications and is based out of Woodland Hills, CA.**
+ Architect and develop embedded software platform utilizing C, C++, Ada or related languages to support research and development of SDR (Software Defined Receiver)
+ Shape leading-edge Software Defined Radio (SDR) systems for GPS, GNSS, and other cooperative signals including advanced signal processing techniques.
+ Lead a software team and navigation and timing experts in a team-based collaborative environment dedicated to advancing the state of the art.
+ Apply your research and development skills to architect real-world products and capabilities well beyond purely academic or theoretical.
+ Work in close collaboration with Government R&D organizations such as DARPA, AFRL, ONR, and Army labs to develop advanced navigation and timing technologies.
+ Present your ideas to internal and external groups; lead proposal activities; and represent Northrop Grumman at technical conferences and working groups.
NGFeaturedJobs
**Basic Qualifications:**
+ Master of Science in a STEM discipline and a minimum of 12 years of prior relevant experience, or Ph.D. in STEM discipline; minimum 9 years of prior relevant experience.
+ US Citizenship
+ Ability to obtain and maintain a DoD Secret clearance
+ Ability to work onsite full-time
Embedded Software Engineering focused skills:
+ Demonstrated experience developing embedded software utilizing C, C++, Ada or related languages (typically 10+ years of experience)
+ Demonstrated experience developing software using Green Hills Integrity Real-time Operating Systems, board support package (BSP), Green Hills Multi IDE and/or Wind River VxWorks RTOS/BSP for C/C++ or equivalent development tools.
+ Demonstrated experience in architecting, developing, and integrating real time embedded software for GPS Receivers, including integration on target hardware.
+ Expert knowledge of signal selection, acquisition and tracking, Position, Velocity, and Time (PVT) calculation, data demodulation, signal correction, jamming and spoofing or related applications
Systems Engineering skills:
+ Demonstrated experience with algorithm development for satellite acquisition, tracking, and receiver measurement formation.
+ Demonstrated experience with design: GPS receiver tracking loops, including characterization of platform dynamics, environmental/interference effects, jamming mitigation techniques on GPS processing.
+ Hands-On experience with Global Navigation Satellite System (GNSS) SDRs.
**Preferred Qualifications:**
+ Ph.D. in Aerospace Engineering or Computer Engineering or any related field and a minimum of 12 years of prior relevant experience.
+ Working experience as a Team Lead, Technical Lead, and/or Subject Matter Expert (SME) in fields of knowledge and expertise.
Software Engineering focused skills:
+ Experience with developing Vector Signal processing or Data processing algorithms for wireless communication technology
+ Familiarity with Agile lifecycle process including Scrum, Continuous Integration, Unit Test, and Test-Driven Methodologies
+ Familiarity with open system architectures (ex: ASPN, CMOSS, PNTOS, JADC2).
System Engineering Skills:
+ Demonstrated experience with military GPS modernization particularly M-code signal processing and capabilities.
+ Demonstrated experience with GPS satellite constellation simulator test equipment.
+ Demonstrated experience with inertial navigation systems (INS) mechanizations.
+ Familiarity with signals of opportunity and alternate navigation signals.
+ Familiarity with integrated INS/GPS navigation systems involving exposure to Kalman filtering / estimation theory.
**Salary Range:** $165,000 - $247,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Woodland Hills, CAR10142984CaliforniaCAStaff Embedded Software ArchitectNone160410595E81424A9CE05A0DB24CE0F9http://northropgrumman-veterans.jobs/160410595E81424A9CE05A0DB24CE0F923PalmdaleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Northrop Grumman Aeronautics Systems sector is seeking a **Flight Inspector 3/4** to join our team of qualified, diverse individuals located in **Palmdale, CA.** Telecommute/remote is not an option for this position. This position's standard work schedule is a 4/10, Monday-Thursday. The inspector may be required to work any shift and weekends as needed throughout the year.
**Essential responsibilities:**
The inspector supports test personnel to ensure compliance with documented processes and procedures. The inspector will:
+ Participate in pre-test activities such as review of test plan and test procedure documentation
+ Verify that all pre-test readiness activities are complete
+ Assess readiness to enter formal test events
+ Ensure the physical and digital test environment and configuration (e.g., lab, computers, hardware, firmware, software, versions, checksums, etc.) is consistent with the documented configuration
+ Witness testing to ensure approved test procedures are executed as written
+ Ensure all defects and anomalies are documented
+ Ensure all documentation is complete (logbooks, forms etc.) in accordance with prescribed procedures
+ Verify that test results are documented
+ Participate in post-test activities such as review of test report documentation and collection of test metrics
+ Identify quality problems and recommends solutions
+ Ensure equipment is properly calibrated and damaged items are properly dispositioned
**Basic Qualifications Level 3:**
+ High School diploma or equivalent and 4 years related aerospace experience.
+ Familiarity with aerospace /control element/lab unit screen verification.
+ An active in-scope DoD Secret clearance
+ The ability to obtain/maintain Special Program Access
+ Ability to relay and receive information and to follow basic instructions and guidelines.
+ Able to understand sequence of operations or actions to be taken
+ Experienced with use of technical drawings and following manufacturing work instructions and inspection procedures
+ Able to stand for extended hours during inspection, lift 50lbs, work in a confined space, and work with and/or be exposed to hazardous chemicals
**Basic Qualifications Level 4:**
+ High School diploma or equivalent and 6 years related aerospace experience
+ Familiarity with aerospace /control element/lab unit screen verification.
+ An active in-scope DoD Secret clearance
+ The ability to obtain/maintain program access
+ Ability to relay and receive information and to follow basic instructions and guidelines.
+ Able to understand sequence of operations or actions to be taken
+ Experienced with use of technical drawings and following manufacturing work instructions and inspection procedures
+ Able to stand for extended hours during inspection, lift 50lbs, work in a confined space, and work with and/or be exposed to hazardous chemicals.
**Preferred Qualifications**
+ FAA certified Airframe and Powerplant License
+ Associate Degree in an aerospace field
+ An active in-scope DoD Top Secret clearance
+ Current program PAR
+ Previous Inspection experience
**As a full-time employee of Northrop Grumman, you are eligible for:**
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
**Salary Range:** $68,600 - $114,400
**Salary Range 2:** $81,500 - $135,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10153998CaliforniaCAFlight Inspector 3/4None3EA2C56C3E47486AA80EBBF93FF3AB7Chttp://northropgrumman-veterans.jobs/3EA2C56C3E47486AA80EBBF93FF3AB7C23Rolling MeadowsNorthrop GrummanUnited StatesUSA2024-03-27 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman Mission Systems we understand that sometimes we must pause our professional life to support our family and loved ones. After taking a career break to care for children, aging parents or other family obligations, the road to return to work can be intimidating. iReturn can be the steppingstone in returning to your career.
We are seeking experienced and motivated professionals who are looking to return to the workforce after a career break of a minimum of 2 years for Electronics Engineering .
The Navigation, Targeting, and Survivability Division (NTSD), located in the Northwest Chicago suburbs, develops operational Electronic Warfare (EW) and targeting systems that provide situational awareness, self-protection, and offensive electronic attack capability to platforms across the spectrum from visible light IR to millimeter wave RF. By joining us, you'll work on projects that help safeguard our nation related to land forces, rotary wing survivability systems, international and U.S. military electronic warfare systems, communications systems, missile warning systems and sensors, infrared counter-measure systems (IRCM), automatic test equipment, modeling and simulation, and situational awareness programs. The Hardware Engineering organization plays a key role in developing these solutions.
The Electronics Engineer role involves full life-cycle product development from system concept and requirements through design, implementation, test verification, production, and field support while working in integrated product teams spanning Engineering, Manufacturing, and Program Management. Digital subsystem and circuit card designs utilize the latest FPGA technologies from all major vendors and device families including Xilinx, Altera, and Actel, and support high speed interfaces, Radio Frequency (RF) and Electro-Optical (EO) DSP, controls, data links, embedded processing and processor interfaces.
As an Electronics Engineer, you will join our diverse team and be responsible for research, design, and development of digital hardware products. Your expertise in digital component design and analysis, modeling and simulation, circuit level design and test, and project leadership are desired for this position.
Researches, designs, develops, and tests a variety of electronic equipment and systems, including radar and radiation detection equipment; radio, television and other communications equipment and systems; circuitry components; analog and digital computers; and control and test equipment.
Basic Qualifications for an Engineer Electronics:
+ Bachelor's degree in an Electrical Engineering, Computer Engineering or similar engineering discipline with a minimum 2 years of digital electronics design experience or a master's degree in a STEM related field.
+ Proven skills to include: communicating with peers and supervisors, being adaptable, creative, collaborative and also comfortable working independently and enjoys solving difficult problems.
+ US Citizen and ability to obtain government security clearance.
Basic Qualifications for a Principal Digital Design Engineer:
+ Bachelor's degree in Electrical Engineering, Computer Engineering or similar engineering discipline with a minimum 5 years of digital electronics design experience or +3 years of digital electronics design experience with a Master's degree in a technical field.
+ Proven skills to include: team leadership, mentoring others, communicating with all levels of an organization internally and externally, being adaptable, creative, collaborative and also comfortable working independently and enjoys solving difficult problems.
+ US Citizen and ability to obtain and maintain government security clearance.
Preferred Qualifications:
+ Digital circuit design experience, including simulation & schematic capture through test and integration
+ Prior hands-on prototyping and debug experience testing complex digital subsystems, such as complex circuit card development involving FPGAs, and/or embedded processors, and/or high-speed interfaces.
+ Familiarity with documentation revision control and review systems similar to DOORS, ClearCase, and ClearQuest.
+ Advanced degree in Electrical or Computer Engineering
+ Demonstrated ability to translate system performance and operational specifications into hardware requirements, design, and test specifications.
+ Working knowledge of Electronic Warfare or EO/IR systems.
+ Experience in Aerospace and/or Defense industries.
+ Recent circuit card design experience utilizing circuit-related tools including Cadence Concept, Allegro, and/or Mentor DxDesigner, Expedition.
+ Working experience with ClearCase/ClearQuest and DOORS Current Secret or Top Secret Security Clearance.
NGiReturn
**Salary Range:** $81,000 - $121,600
**Salary Range 2:** $99,800 - $149,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Rolling Meadows, ILR10144178IllinoisILMS iReturn Electronic Engineer or Principal Electronics EngineerNone51E4123C51534FC0967A69E2D1830FB1http://northropgrumman-veterans.jobs/51E4123C51534FC0967A69E2D1830FB123BeavercreekNorthrop GrummanUnited StatesUSA2024-03-27 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
**Roles and Responsibilities:**
Northrop Grumman (NG) Defense Systems is seeking an Industrial Security Analyst for our Beavercreek, OH location. This position will serve as a Contractor Program Security Officer (CPSO) and COMSEC Account Manager. The successful candidate will report directly to the Operating Unit Security Manager and will be charged with providing critical program security support for both SCI and SAP contracts/activities. This CPSO position will provide daily support efforts to include and not limited to personnel security clearance processing, document control and accountability (to include TCSO responsibility), physical security support to badge inputs for personnel access as well as alarm maintenance and response. Knowledge of DD254 contractual specification document requirements and details associated with varying statement of works will be critical for program success and management of all administrative actions. Activities will also include program area self-inspections and supporting customer inspections for all SCI and SAP program areas. Corrective Action Plans (CAPs) must be generated and actions monitored to ensure completion for any deficiencies noted in program areas. The candidate must effectively interface daily with the site Facility Security Officer (FSO), government and contractor customers, NG program management and other NG engineering and functional staff. This position will additionally serve as an Alternate FSO and require collaborative team efforts with current security professional staff.
The candidate must have a high degree of problem-solving skills and the ability to work with minimal supervision and should have some degree of knowledge of multi-level security procedures. The candidate must possess the ability to adapt to constantly changing demands and demonstrate the capability to take on new and unfamiliar tasks. Requirement to make some independent decisions, analyze problems, and provide focused solutions to management is essential. The ideal candidate will be team-oriented and possess the ability to work with others collaboratively in a professional and high-energy environment. Some travel may be required to support off site activities and customer requirements.
**Responsibilities:**
+ Support to physical security (including programming badges, keys, cores, locks, combination changes and administration, working with contract security team, access control, video monitoring, intrusion detection and obtaining approval of open storage areas (formally closed areas)).
+ Conduct required above ceiling/false floor checks of approved classified areas.
+ Work as point of contact for alarms/response and works closely with the Enterprise Security Control Center (ESCC).
+ Support Business resiliency and contingency planning for the Crisis Management Team.
+ Support compliance of company and government Security regulations.
+ Serve as the COMSEC Account Manager.
+ Security database updates within the NGC SIMS system.
+ Access to DISS for clearance verification for SCI and/or SAP processing
+ Assist the site Industrial Security Team and programs as needed.
+ Other duties as assigned.
**Basic Qualifications:**
+ Must have a high school diploma or GED with at least 10 years of related experience; OR 6 plus years of experience with a bachelor's degree; OR 4 plus year of relevant experience with a master's degree
+ Proficiency with Microsoft Office Suite or 365, including, MS Word, MS EXCEL
+ Working knowledge of the following standards/documents; 32 CFR part 117, NISP, ICDs
+ Experience managing Security Vendors and keeping projects on schedule.
+ Ability to work independently and follow projects through to completion.
+ Self-starter with minimal supervision.
+ This position requires an active DoD TS Security Clearance with Eligibility for SCI and SAP accesses
**Preferred Qualifications:**
+ Experience with government security databases: DISS, NISS, NIPR
+ Experience with supporting Crisis Management Team by preparing emergency action plans, floor warden training, etc.
+ Experience in programming and troubleshooting Lenel Access Control issues locally and remotely.
+ Security experience with DoD military base access requirements, to include SCI nomination processing, CAC card form processing as well as government badge and system account access processing.
+ ASIS Physical Security Professional (PSP), NCMS Industrial Security Professional (ISP), or other security certification experience.
+ Experience with Physical Security requirements and knowledge of ICD-705.
**Salary Range:** $80,600 - $121,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Beavercreek, OHR10145982OhioOHPrincipal Industrial Security AnalystNone9DF70870258943E197174B258C780FF9http://northropgrumman-veterans.jobs/9DF70870258943E197174B258C780FF923PalmdaleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems has an opening for a** **Principal/Senior Principal Systems Test Engineer** **to join our team of qualified, diverse individuals within our Test and Evaluation organization.**
The position will be in Palmdale or Edwards AFB.
+ The candidate will develop and publish Integrated Test Plans, detailed test plans, test schedules, and test procedures for developmental testing.
+ Provide laboratory support for integration testing.
+ Support and host test planning working groups.
+ Interface with test article design and analysis teams to define test configuration and data requirements.
+ Review and evaluate test requirements to ensure completeness of test program.
+ Perform technical analysis of complete systems and prepares comprehensive system level evaluations.
+ Support test card development and review in collaboration with other engineering disciplines and test operations personnel.
+ Support test readiness reviews, and pre/post-test briefings.
+ Provide mission control room support and makes real-time decisions regarding safety, efficiency, and effectiveness.
+ Conduct and contributes to pre and post-test data analyses to support anomaly identification and resolution. Maintains metrics on status of testing and constraints.
+ This position requires outstanding written and oral communications skills. Individual will be required to serve as either a principal subject matter focal point between maintenance, design engineering, and test site team - contractor and customer.
+ Individual must be able to work under only general direction to determine and develop approaches to solutions; must be able to work both within a team environment and independently; must be self-motivated, thorough, and detailed; and must be willing to learn additional engineering discipline areas.
+ Proficient in Microsoft Office and Integrated Analysis & Data System (IADS)
+ Overtime, odd shifts, and weekend work will occasionally be required.
**Selected Candidate must be able to work multiple shifts: 4x10 schedule (Mon-Thurs) 1st shift; 4X10 (Mon-Thurs) 2nd shift; 3x10 schedule (Fri, Sa, Su) 2nd shift. 3x10 schedule (Fri, Sa, Su) 1st shift.**
**"This requisition may be filled at a higher grade based on qualifications listed below."**
**Basic Qualifications:**
**"** **This requisition may be filled at either a principal or a Sr. Principal Systems Test Engineer.**
**Basic Qualifications for Principal:**
+ A bachelor's in science, Technology, Engineering or Math (STEM Degree).
+ A minimum of 5 years of applicable experience with a BS Degree or 3 years with a MS degree in STEM Field
**Basic Qualifications for Sr Principal:**
+ A Bachelors in Science, Technology, Engineering or Math (STEM Degree).
+ A minimum of 9 years of applicable experience with a BS Degree or 7 years with a MS degree in STEM Field
**Both Principal and Sr Principal Systems Test Engineer**
+ Must have the ability to obtain and maintain DoD Secret Clearance
+ Must have the ability to obtain and maintain Special Program Access
+ Must be able to support Overtime, odd shifts, and weekend work as required to meet business needs
**Preferred Qualifications:**
+ In depth knowledge of or test experience, to include control room monitoring.
+ Top Secret clearance
**Salary Range:** $95,000 - $142,400
**Salary Range 2:** $117,700 - $176,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10112717CaliforniaCAPrincipal Engineer Systems Test/Senior Principal Engineer System TestNoneAD03BD924DC9489ABAAB7E27CD399CE8http://northropgrumman-veterans.jobs/AD03BD924DC9489ABAAB7E27CD399CE823BaltimoreNorthrop GrummanUnited StatesUSA2024-03-27 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems Sector (NGMS) is a leading global provider of secure software-defined, hardware enabled mission systems. Our company is pioneering capabilities in a wide variety of sectors that keep our nation and our allies safe from undersea to space and cyberspace. NGMS is seeking a Principal Engineer with the desire to learn new technologies to join our innovative Digital Technologies Organization to help develop, enhance and maintain FPGA and/or ASIC designs on cutting edge products and systems. As a Principal Digital Engineer at Northrop Grumman you will have a challenging and rewarding opportunity to be a part of our Enterprise-wide digital transformation. Through the use of Model-based Engineering, DevSecOps and Agile practices we continue to evolve how we deliver critical national defense products and capabilities for the warfighter. Our success is grounded in our ability to embrace change, move quickly and continuously drive innovation. The selected individual will work on FPGA and ASIC Design across the full product life cycle process. In this capacity, you will utilize your working knowledge of digital signal processing and digital interfaces.
This position is located in Linthicum, MD or Morrisville, NC.
**Basic Qualifications:**
+ Bachelor's degree with 5 years of experience, a Master's degree with 3 years of experience or a PhD with 0 years of experience in Electrical Engineering, Computer Engineering, Computer Science, or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S Citizenship is required
+ An active DoD Secret Security Clearance is required with the ability to obtain Special Program Access (SAP) prior to start.
+ Working knowledge of full product life cycle (requirements, design, implementation and test) of FPGA Design and/or ASIC Design
+ Knowledge of System Verilog, Verilog and/or VHDL
+ An active DoD Secret Security Clearance is required
**Preferred Qualifications:**
+ Advanced Degrees in Electrical Engineering, Computer Engineering, Computer Science, or related technical fields
+ Active DoD Top Secret Clearance or higher
+ Experience with industry standard FPGA design implementation tools for IP integration, PnR, CDC such as Xilinx Vivado, Intel Quartus, and QuestaSim.
+ Experience with industry standard ASIC front-end design tools for synthesis, LEC, CDC
+ Experience with STA constraints generation and timing closure
+ Experience with MATLAB, Mentor Graphics design tools, Synopsys or similar tool
+ Familiarity with Xilinx and Intel FPGA technology
This position is contingent upon transfer of DoD Secret Clearance and Special Program Access (SAP) prior to starting.
**Salary Range:** $112,600 - $169,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10153044MarylandMDPrincipal Digital Engineer (FPGA and ASIC Design)NoneAF003D8D9DCE433C9A80C7FEFD6546D6http://northropgrumman-veterans.jobs/AF003D8D9DCE433C9A80C7FEFD6546D623LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
The Northrop Grumman Mission Systems (NGMS) Advanced Processing Solutions Business pushes the boundaries of innovation, redefines the leading edge of exotic new technologies, and drives advances in the sciences. One of our most challenging new fields is Transformational Computing, which combines the unique properties of superconductivity and quantum mechanics to develop radical new energy-efficient computing systems. Our team is chartered with providing the skills to transform computing beyond Moore's Law, advancing development of computer architectures, processing/memory subsystems, and large-scale high-performance computing systems. You'll work in a R&D environment alongside a broad array of scientists and engineers to make these processing solutions a reality and deliver remarkable new advantages to the warfighter.
The Systems Engineering Integration & Test (SEIT) section is seeking a dedicated Configuration Data Management representative to join our team of qualified and diverse individuals to assist Engineering with Product Lifecycle Management (PLM) System support.
This role functions as a Configuration Data Management (CDM) System Engineer, primarily supporting SEIT, Software developers, and customers for the audit, configuration, and release of software products, test procedures, and documentation to the various testing and operation environments. The CDM representative contributes to the product configuration and release management of products supporting the TC OU day-to-day activities.
In this role, the selected candidate, will perform the following responsibilities:
**Identification**
- Assign and control program hardware / software data identification control numbers per program & Functional Home Room (FHR) mandates.
- Enforce & assign part & serial number requirements.
**Change Management**
- Perform Technical Review Board and Configuration Control Board activities.
- Establish agendas, publish minutes & track meeting actions.
- Process change packages through lifecycle including disposition & documentation / hardware implementation.
- Perform program data release functions via Product Lifecycle Management tools.
**Configuration Status Accounting**
- Development & maintain various CDM reports for program support. Example, Configured Item Identification List, Engineering Change Request status, and CDM performance metrics.
**Verification and Audits**
- Support internal program CDM audits against program SOW & Functional Command Media mandates.
- Provide aid to Functional Configuration Audits & perform Physical Configuration Audit.
- Document Management for deliverable CDRLs and non-CDRL documents.
**This position will serve on-site in Linthicum/** **Annapolis Junction, MD.**
This position can be filled at either the Principal or Sr. Principal level.
**Basic Qualifications for Principal Configuration Data Management Systems Engineer:**
+ Bachelor's Degree or higher in a STEM discipline (Science, Technology, Engineering, Math) such as Electrical Engineering, Computer Engineering, Computer Science, Aerospace Engineering, Physics, or a similar technical discipline with 5 years of related experience, or 3 years with a Master's Degree, or 0 years with a PhD.
+ This position requires the applicant to be a U.S. citizen with the ability to obtain and maintain a TS/SCI with poly clearance per business requirements .
+ Experience working in a configuration management product life cycle system (i.e., Agile PLM, Teamcenter, CMPro , etc.)
+ Excellent written, verbal communication and presentation skills, strong social skills, and ability to build consensus among peers while buildings solid relationships and trust with internal team members and customers .
+ Work overtime and weekends when necessary to support mission goals.
**Basic Qualifications for Sr. Principal** **Configuration Data Management Systems** **Engineer:**
+ Bachelor's Degree or higher in a STEM discipline (Science, Technology, Engineering, Math) such as Electrical Engineering, Computer Engineering, Computer Science, Aerospace Engineering, Physics, or a similar technical discipline with 9 years of related experience, or 7 years with a Master's Degree, or 4 years with a PhD.
+ This position requires the applicant to be a U.S. citizen with the ability to obtain and maintain a TS/SCI with poly clearance per business requirements .
+ Experience working in a configuration management product life cycle system (i.e., Agile PLM, Teamcenter, CMPro , etc.)
+ Excellent written, verbal communication and presentation skills, strong social skills, and ability to build consensus among peers while buildings solid relationships and trust with internal team members and customers .
+ Work overtime and weekends when necessary to support mission goals.
**Preferred Qualifications of** **Principal** **/ Sr.** **Principal** **CDM Systems Engineer:**
+ Active TS/SCI with poly security clearance .
+ Ability to adjust to changing priorities and requirements.
+ Ability to analyze/audit software products and documentation handoff from the developers.
+ Familiar with Git/Gitlab commands and continuous integration/development (CI/CD) methodology .
+ Experience working in an Enterprise Resource Planning (ERP) or Material Requirements Planning tool (MRP) (i.e., Oracle, SAP, etc.)
\#NGAPS
\#SEITAPS
**Salary Range:** $102,400 - $160,900
**Salary Range 2:** $127,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10144363MarylandMDPrincipal / Sr. Principal Configuration Management Systems EngineerNoneC940CF4A8FA64705B97BDD24D341CD35http://northropgrumman-veterans.jobs/C940CF4A8FA64705B97BDD24D341CD3523BaltimoreNorthrop GrummanUnited StatesUSA2024-03-27 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman has an immediate opportunity available for a **Sr. Staff Sytems Engineer-** **Advanced Capabilities SEIT Lead** located in our Baltimore, MD office.
**What You'll Get To Do:**
The **Sr. Staff Sytems Engineer-** **Advanced Capabilities SEIT Lead** is responsible for directing the execution of the overall systems engineering, integration, and test (SEIT) effort on a high priority development program, with a particular focus on efforts relating to advanced mode and algorithm capability insertion. The SEIT Lead is also responsible for horizontal and vertical integration across all engineering elements of the program and ensures the integrity of systems engineering processes.The SEIT Lead will have Control Account Manager (CAM) responsibility, which comprises cost and schedule management for the SEIT work scope. The SEIT Lead interfaces with the Program Manager, Chief Engineer, System Architect, other engineering team leads, as well as the customer.
**Roles and Responsibilities:**
+ Plan and execute the systems engineering core functions and ensure the SEIT team has qualified staff to execute the systems engineering core functions
+ Plan, execute, and close out system reviews (e.g., SRR, SFR, PDR, CDR, SVR, TRR, Pre-test reviews, Post Test Reviews, Pre-Ship reviews, etc.)
+ Ensure system technical compliance with the program Statement of Work (SOW) and system requirements
+ Manage the vertical and horizontal technical integration throughout the product teams and program verification and validation efforts
+ Oversee system configuration management of the System Technical Baseline
+ Interface with the customer for the System Technical Baseline Management
+ Coordinate across producibility and integrated product support
+ Collect, manage and report the systems engineering metrics and Technical Performance Measures (TPMs)
+ Manage risk and opportunity and the production of core systems engineering work products and track the work products and associated program dependencies in the program schedule
+ Oversee the establishment and execution of the systems engineering lifecycle and work products
+ Support the transition of the system to the operations, maintenance, and sustainment stakeholders
+ As needed, serve as the cost accountmanager for the systems engineering responsibilities
+ Coordinate between the System Architect, Chief Engineer, Product Team Lead(s), program manager, and customer to ensure the integrity and executability of the program technical baseline
**Basic Qualifications:**
+ Bachelor's degree in a STEM field and a minimum of 14 years of experience or a Master's degree in STEM with a minimum of 12 years of experience or a PhD with a minimum of 9 years of experience. An additional 4 years of experience may be considered in lieu of a degree.
+ Candidate must be a U.S Citizen and have an active DoD Secret Clearance
+ Must also have the ability to obtain programs special access (SAP)
+ Experience leading technical teams
+ Experience in systems development, integration, and test
+ Familiarity with Radar / Multifunction mode development and performance modeling
+ Experience leading RF mode and capability insertion programs
+ Willingness to work on-site full-time in a program area
**Preferred Qualifications:**
+ Master's degree or PhD in STEM, or technical management
+ Strong communication skills
+ Understanding of DoD systems engineering requirements
+ Leadership experience with agile development and earned value management
+ Current Top Secret clearance, SAP access(es), and/or SCI access
**Salary Range:** $174,800 - $262,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10154185MarylandMDSr Staff Systems Engineer-SEIT LeadNoneC98BF2E6E1C84225A5AEC81EF3883E9Chttp://northropgrumman-veterans.jobs/C98BF2E6E1C84225A5AEC81EF3883E9C23LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The **Payload and Ground Systems Division** within **Northrop Grumman Space Systems** is a strategic partner specializing in delivering intelligence, surveillance, and reconnaissance solutions for classified and unclassified missions in space. Headquartered in Linthicum, Maryland, PGS RSP has offices, manufacturing, and world-class assembly, integration, and test facilities. We do it all here, on-site, from sensors to payloads to full up spacecraft, supporting Class A to Class D missions. Our key capabilities include Active RF, Passive RF, and Electro-Optical payloads, space solar power, cross domain missions, and space resilience payloads & solutions.
This position is for a **Sr. Principal Systems Engineer** to join our **Remote Sensing Programs Systems Engineering, Integration and Test (RSP SEIT) team.** As a member of this team, you will have the opportunity to support efforts on both concept and product development to deliver key solutions to our customers. We cover a wide variety expertise within the systems engineering domain. This includes mission engineering, requirements, architecture, modeling and simulation, integration and test, verification and validation, and many more!
**The position will be responsible for supporting and leading systems engineering tasks for space subsystems, payloads and/or vehicles. These may include, but are not limited to:**
+ Requirements analysis, derivation, and management
+ Mission Engineering, modeling, and analysis
+ Architecture and CONOPs development
+ Systems modeling and simulation
+ Functional analysis
+ Timeline analysis
+ Trade studies
+ Technical planning
+ Cost and risk analysis
+ Interface definition
+ System design
+ System integration and test
+ Verification and Validation planning and execution
+ Supportability and effectiveness analyses for total systems.
+ Some tools you could expect to use are: DOORS, STK, Cameo, Rhapsody, MATLAB, python
**Basic Qualifications:**
+ Bachelor of Science Degree or higher in Electrical Engineering, Computer Engineering, Systems Engineering, Software Engineering, or other related STEM (Science, Technology, Engineering, or Math) curriculum with 9 years relevant experience; 7 years with Master of Science Degree; or PhD Degree with 4 years of experience, or relevant years of experience in lieu of degree.
+ Active/current TS/SCI is required to be considered.
+ Systems engineering experience (i.e. requirements, mission engineering, architecture, modeling and simulation, integration and test, verification and validation)
**Preferred Qualifications:**
+ Space experience
+ Sensor/payload experience:
+ Antennas
+ Radar
+ EO/IR
+ Communications
+ Processing, specifically On-Board Processing
+ Space vehicle experience
+ Space ground systems architecture and design experience
+ Mission engineering, modeling and simulation
+ Space Mission CONOPS
+ Assembly, Integration and Test (AI&T)
**Salary Range:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10145256MarylandMDLead Space Payload Systems Engineer (Sr. Principal)NoneCB2C334ED0F84D779B9A9C602F6D32C8http://northropgrumman-veterans.jobs/CB2C334ED0F84D779B9A9C602F6D32C823LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Space Systems Sector, Payload and Ground Systems Division is seeking a Sr. Principal Reliability Engineer for spacecraft systems or subsystems. The Reliability Engineer candidate will provide product design influence through reliability tasking while working within an Integrated Product Team designing, developing, assembly, integration and test of spacecraft and its subsystems. This position is located in Linthicum, MD.
The Reliability candidate will:
+ Lead Design Failure Modes, Effects and Criticality Analysis (FMECA)(functional and interface)
+ Develop reliability assessment, modeling, prediction and reliability trade studies.
+ Chair Failure Review Boards.
+ Lead failure investigations (root cause/corrective action)
+ Develop reliability reports and design artifacts.
+ Interface with the program office and Customer counterparts
+ Support Worst Case Analysis (WCA), Electronic Parts Stress Analysis (PSA), and Single-Events Effects Analysis (SEEA)
+ Provide reliability leadership to component qualification and system assembly, integration and test activities.
Basic Qualifications:
+ Must be a U.S. citizen and with the ability to obtain Active Top Secret/SCI clearance.
+ Bachelor's + 9 years of exp; Master's + 7 years of exp; PhD + 4 years of exp.
+ Experience in electronic systems reliability analyses and activities.
Preferred Qualifications:
+ Active TS/SCI clearance.
+ Experience leading spacecraft reliability activities
+ Knowledgeable with NASA, US DoD military standards & handbooks, and Aerospace TOR Reliability standards
+ ASQ Certified Reliability Engineer (CRE)
**Salary Range:** $115,400 - $173,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10154338MarylandMDSr. Principal Reliability EngineerNoneECF39D562B154D3595B27C624CA72147http://northropgrumman-veterans.jobs/ECF39D562B154D3595B27C624CA7214723MagnaNorthrop GrummanUnited StatesUSA2024-03-27 06:15:46At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems - Propulsion Systems is seeking an **Industrial Security Analyst (Level 2) or Principal Industrial Security Analyst (Level 3)** who will function as the Facility Security Officer (FSO) with oversight and responsibility for DoD classified programs, with dual responsibilities as the Contractor Program Security Officer (CPSO) for a small Special Access Program (SAP) area. This position will be in **Magna, Utah** (Bacchus Plant).
Facility Security Officer (FSO) responsibilities may include but are not limited to:
+ Lead the Industrial Security office at the Bacchus site to ensure compliance with 32 CFR Part 117, National Industrial Security Program Operating Manual (NISPOM).
+ Manage all classified programs and coordinate support from Computer Security, Physical Security and Personnel Security to collectively meet classified program requirements.
+ Develop and present various security training and briefings; maintain security's database applications; process classified visit requests and orchestrate classified visits/meetings.
+ Manage foreign travel program and assist with foreign visitors; control and safeguard classified documents; review Security Classification Guides; ensure compliance with protection requirements.
+ Configuration Control Board (CCB) member for classified systems.
+ Focal point for on-site inspections/assessments required by government regulations.
+ Conducts investigations and files official reports.
+ Administers Security Education and OPSEC programs.
+ Reviews technical papers and Contract Security Classification Specification (DD254).
+ COMSEC Manager: semi-annual inventory and account recertification; maintain crypto key/equipment.
+ Other security related duties as assigned.
Contractor Program Security Officer (CPSO) responsibilities may include but are not limited to:
+ Engage with senior level customers and diverse personalities to enable short term deliverables and long-term lasting solutions.
+ Ensuring the protection of national security information in accordance with the DoDM 5205.07, ICD705, and 32 CFR Part 117, National Industrial Security Program Operating Manual (NISPOM).
+ Utilize experience and independent judgment to provide an effective security program that meets government customer and corporate security requirements for highly sensitive, and sometimes complex programs.
+ Perform and manage all security related functions to support special access classified programs and ensure compliance with the government directives, company policy and customer requirements.
+ Prepare and maintain personnel security records and process personnel for Special Program access.
+ Submit personnel access requests (PARs) or crossover actions and initiate the personnel security investigation process.
+ Safeguard, control, accountability, storage, transmission, reproduction, disposition, and retention, of classified material and information.
+ Develop and/or administer various training to include annual security training for cleared employees.
+ Investigate and report security incidents.
+ Perform/coordinate testing of intrusion detection systems in SAP areas.
+ Interpret and ensure compliance with program security classification guidance.
+ Use and maintain security database applications (company & customer).
+ Other security related duties as assigned.
**Basic Qualifications (Level 2):**
+ Bachelor's Degree and three years of relevant experience to include DoD Industrial Security experience. In lieu of a degree, an additional four years of directly relevant experience may be considered.
+ Candidate must be a US Citizen and have an active U.S. Government **Secret** clearance with a Single Scope Background Investigation (SSBI) current within 6 years. Must obtain a Top Secret DoD clearance within 6-months (required for SAP duties).
+ Work independently with little direct supervision.
+ Knowledge and understanding of the 32 CFR (NISPOM), DoDM 5205.07 and Intelligence Community Directives (ICD) related to security.
+ Experience with DISS and NISS.
**Basic Qualifications (Level 3):**
+ Bachelor's Degree and six years of relevant experience to include DoD Industrial Security experience. In lieu of a degree, an additional four years of directly relevant experience may be considered.
+ Candidate must be a US Citizen and have an active U.S. Government **Secret** clearance with a Single Scope Background Investigation (SSBI) current within 6 years. Must obtain a Top Secret DoD clearance within 6-months (required for SAP duties).
+ Work independently with little direct supervision.
+ Knowledge and understanding of the 32 CFR (NISPOM), DoDM 5205.07 and Intelligence Community Directives (ICD) related to security.
+ Experience with DISS and NISS.
**Preferred Qualifications:**
+ A Bachelor degree (Business Administration, Information Systems, Criminal Justice, or a related field is preferred).
+ Willingness to learn and qualify to perform within the other security disciplines (PERSEC, Physical Security).
+ Effective planner, detail oriented with strong computer skills including proficiency with Microsoft Office Suite; Effective written communication skills, report writing, and presentation skills.
+ Possess excellent interpersonal skills to include working with senior leaders/managers, customers, employees, and security personnel; Ability to work independently or as part of a team.Excellent organizational skills and ability to multi-task and meet deadlines.
**Salary Range:** $62,200 - $93,400
**Salary Range 2:** $76,600 - $115,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Magna, UTR10153553UtahUTIndustrial Security Analyst or Principal Industrial Security AnalystNone560C64E88DF849F2AE5646F2748FEB66http://northropgrumman-veterans.jobs/560C64E88DF849F2AE5646F2748FEB6623ManchesterNorthrop GrummanUnited KingdomGBR2024-03-27 06:15:45For Cyber projects only - Plans, monitors and manages internal projects from initiation through completion. Leads or coordinates project planning, resourcing, staffing, supply and subcontract management, progress reporting, troubleshooting and people management. Ensures project results meet requirements regarding technical quality, reliability, schedule and cost. Monitors performance and recommends schedule changes, cost adjustments or resource additions. Responsibilities are within the Project/Program Management Function as a generalist or in a combination of Disciplines
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.Manchester, GBRR10147179Senior Project ManagerNone01BAB7AC959F4B13A9E146725203FACDhttp://northropgrumman-veterans.jobs/01BAB7AC959F4B13A9E146725203FACD23CheltenhamNorthrop GrummanUnited KingdomGBR2024-03-27 06:15:45**Your Opportunity to Define Possible** **.** **Our Opportunity t** **o Deliver the Nation's Security** **. Together**
**Role clearance** **type:** **You must be able to gain and maintain the highest level of UK Government clearance**
**Location:** Cheltenham, Gloucestershire.
**DevOps Linux Engineers are critical to the success of our market leading and agile delivery teams across Northrop Grumman.**
Collaborating with Architects, Software Developers and Testers, we align complex systems to exacting standards and deliver mission value that transforms client systems, whilst delivering improvement in reliability, cost and organisational agility.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible and hybrid working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme** **-** exceptional performance is recognised through our annual incentive programme which is awarded to top performers who excel
+ **Career Development** **-** opportunity for ongoing professional development and career growth opportunities
**Your Responsibilities:**
+ Work with stakeholders to design, build and maintain mission critical systems
+ Administering systems, applications and databases based on Linux operating systems
+ Maintaining and troubleshooting IP networking systems
+ Automating system deployment, operation and maintenance using Infrastructure as Code and Configuration Management tooling
+ Continuously expand your own skills, maintaining and enhancing relevant certifications
**Your Experience:**
+ Proven experience of designing, deploying and administering Linux or Unix based solutions, using virtualisation, containerisation, Infrastructure as Code and DevOps tools, techniques & procedures.
+ Certified to RHCE equivalent level in one of the appropriate Linux certification bodies, for example GIAC, LPI, Oracle, RedHat or The Linux Foundation;
+ Experience of designing, deploying & troubleshooting IPv4 Networking, including Subnetting, OSPF Routing, VLANs and VPNs;
+ Experience of automating tasks using Ansible, Bash, PowerShell, Puppet or Python;
+ Experience of administering Virtualised or Containerised systems in VMWare or Docker;
**Your Future Team:**
"As a Lead DevOps Engineer I investigate and implement the latest tools to design, build and support Linux based solutions, using Infrastructure as Code and automation tooling to build and deploy environments and applications across containerised and virtualised environments. I mentor the more junior members of the team in Linux and automation, driving code quality and best practice."
**Richard, Lead Linux Engineer, National Security Solutions @ Northrop Grumman UK**
Joining the Infrastructure Discipline gives a great variety of opportunity, working with the latest Infrastructure as Code and DevSecOps toolsets to deliver innovative solutions to extremely complex problems.
Our focused and flexible Infrastructure Team consists of industry leading practitioners in engineering and systems administration across all specialisms and experience. We work with multiple customer sets and system classifications, on both public cloud and on premise. Collaboratively we deliver innovative solutions to extremely complex problems, providing our team a broad range of experiences from which to develop new skills and learning across the latest Infrastructure as Code and DevSecOps toolsets.
Diversity is at the heart of our success. Our team share experience, knowledge and new thinking gained from a wide range of backgrounds perspective, culture, gender, race, age and many other elements across several industries. We welcome candidates from all backgrounds and particularly from communities currently under-represented within our industry . We treat everyone with respect and foster safe and inclusive environments.
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And within a respectful and inspirational environment, we value what you say and do.
\#LI-CJ1
\#LI-HYBRID
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.Cheltenham, GBRR10139772Lead DevOps EngineerNone04EE84D66D134B5CAF63F5B553CB3596http://northropgrumman-veterans.jobs/04EE84D66D134B5CAF63F5B553CB359623Redondo BeachNorthrop GrummanUnited StatesUSA2024-03-27 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aerospace Systems is seeking a Manager - Survivability Electronic Support Measures Research and Technology to join our team of qualified, diverse individuals. This position will be located in Redondo Beach, California.
Come lead the team where technology innovation and forward-thinking individuals develop the technologies and system solutions to enable the nation's next generation weapon systems to survive in the world's most hostile environments. The qualified applicant will be responsible for Survivability Electronic Support Measure (ESM) Technologies, including specific supporting Mission Systems development and integration within the Survivability Research and Technology Directorate.
Roles and Responsibilities:
• Manages a diverse set of MultiSpectral Survivability CRAD and IRAD development programs. Will be responsible for the cost, schedule and technical performance of research programs, and management of engineering staff. Will be the key interface to Engineering Organizations for staffing, employee growth and performance appraisals. Responsible for all phases of Survivability Portfolio programs from inception through completion.
• Defines, develops, integrates Survivability designs and systems for future and emerging vehicle systems. Establishes design concepts, criteria and engineering efforts for product research, development, integration, and test. Interfaces with NGAS Divisions to capture requirements and coordinate technology investments.
• Develops and executes Research & Technology roadmaps, supporting internal NG customers and external customer objectives, for both internal and external investment.
• Coordinates the preparation of proposals, business plans, proposal work statements and specifications, operating budgets and financial terms/conditions of contract. Participates in the negotiation of contracts and contract changes.
• Defines strategies for technology research, Customer and University/Lab engagement, identifying key areas of technology growth and projection into NG products. Develops new business in this Survivability Field.
• Will be the key interface with external Customers and National Experts in the field. Develops new business or expands the product line with the customer, both external and internal.
**Basic Qualifications:**
- Bachelors of Science in Engineering/Physics or Related Degree and 9 years experience with all aspects of Survivability design and integration including requirements development, analysis, design, technology integration with vehicles and ESM subsystems, performance validation or a master's and 7 years experience.
- Experience leading and managing innovative teams of scientists and engineers to deliver complex Survivability solutions in accordance with performance, schedule, and cost commitments.
- Experience in working with customers and industry for design and integration of ESM technology. Good oral and communication skills are a must to convey project ideas, approaches, benefits, and status to senior leaders and incorporate feedback into plans.
- Position requires a current Top-Secret Clearance
- Must be able to attain and maintain Special Program Access (PAR/SAP) within a reasonable amount of time as determined by business needs.
**Preferred Qualifications:**
- Masters of Science in Engineering/Physics or Related Degree
- Engineering and Program Management Experience
- Systems Engineering Experience
Relocation assistance is available for this position.
**Salary Range:** $180,600 - $271,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Redondo Beach, CAR10143828CaliforniaCAESM Thrust LeadNone2A7270A3F0D6447E843F6ECCBDA1883Fhttp://northropgrumman-veterans.jobs/2A7270A3F0D6447E843F6ECCBDA1883F23CincinnatiNorthrop GrummanUnited StatesUSA2024-03-27 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join **Northrop Grumman - Cincinnati (aka Xetron),** part of Mission Systems sector, focused on the development of new capabilities for our military and intelligence customers around the globe. As a small Operating Unit of the larger Northrop Grumman Corporation, located in Cincinnati Ohio, Xetron is a rare example of a company with a small business culture operating collaboratively with its larger business entity. This unique relationship offers employees the ability to literally know everyone at the site at a personal level while still working on technologies and products that are beyond state-of-the-art. You'll get the opportunity to wrestle with the next big problems in an atmosphere of collaboration and cooperation, sharing your expertise with team members at all levels.
We are seeking early career **cleared or clearable, senior level DSP** **Engineers** to contribute to our sensor, communication, and cyber systems in Cincinnati, OH!
Engineers here are part of multi-disciplinary program teams implementing next-generation, specialized communication systems and sensing systems critical to US intelligence customers and allies. Team members conduct all phases of these programs, including requirements generation, hardware-selection, software development, and system integration and test. Programs at Northrop Grumman in Cincinnati are engineering intensive, rapid-schedule jobs for diverse SIGINT/EW and Cyber applications. Research and solve problems in the areas of advanced waveform development, low size, weight, and power (low SWaP) processing systems, specialized space communication payloads, and persistent signal surveillance systems.
Our employees take advantage of Northrop Grumman's flexible work arrangements, including 9/80 and 4/10 schedules. We offer training and learning opportunities supporting technical and personal growth in all business areas that benefit our customers. Northrop Grumman offers competitive benefits including 401k matching!
**Are you seeking a challenging role with a strong team? Come define possible with us in Cincinnati!**
**Basic Qualifications:**
+ BS degree in Electrical, Computer or related Engineering discipline with 14 years of experience; or MS Degree in Electrical, Computer or related Engineering discipline with 12 years of experience; or PhD in Electrical, Computer or related Engineering discipline with 8 years of experience..
+ MATLAB or Octave proficiency is required.
+ US Citizenship is required
+ The ability to obtain and maintain a Top Secret clearance to start (NG sponsored)
**Preferred Qualifications:**
+ Experience leading technical teams
+ Proficient with MATLAB/Octave, with a digital signal processing focus
+ Proficient in C/C++, embedded SW design in Linux/UNIX and RTOS environments
+ Experience with wireless digital communication systems, in particular modern digital modulation techniques and modem design
+ Experience with RADAR and EW signal processing algorithms
+ Experience with RF receiver development, including system link budget and sensitivity analysis, ADC and receiver noise analysis, and baseband conversion and processing
+ Digital design experience and FPGA development experience in VHDL, is a plus
+ Experience with X-Midas, GNU Radio or software-defined radios
+ Active Top Secret security clearance with SCI
+ Proven skills to include: team leadership, mentoring others, communicating with all levels of an organization internally and externally, being adaptable, creative, collaborative and also comfortable working independently and enjoys solving difficult problems
This position offers the option of a 9/80 work schedule. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Salary Range:** $161,000 - $241,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Cincinnati, OHR10137826OhioOHStaff Digital Signal Processing EngineerNone35AFFF9D2D884B42867CD16925E10D3Bhttp://northropgrumman-veterans.jobs/35AFFF9D2D884B42867CD16925E10D3B23Redondo BeachNorthrop GrummanUnited StatesUSA2024-03-27 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a Senior Principal Vehicle Management Systems (VMS) Engineer Systems to join our team of qualified, diverse individuals within our South Bay Vehicle Engineering organization.
**Primary Duties and Responsibilities:**
+ Serve as subject matter expert, lead, system architect, or primary technical point of contact for the roles and responsibilities listed below
+ Define key subsystem capabilities, performance requirements, and design constraints in accordance with customer specifications
+ Define, develop, and manage system components, system architectures, interface definitions, and implementation approaches
+ Interface with flight-critical and safety-critical systems for control of an aircraft, in particular functionality for control and status reporting. Example systems may include the following subsystems:
+ Flight Control Actuation
+ Brake Control
+ Nose Wheel Steering
+ Hydraulics
+ Electrical Power
+ Propulsion
+ Environmental Control
+ Ground Support Interfaces
+ Landing Gears & Doors
+ Fuel Systems
+ Air Data Systems
+ Navigation
+ Perform technical planning, analysis, and hardware trade studies to meet requirements
+ Perform component hardware and software integration, and derive software requirements for control of flight-critical and safety-critical systems
+ Develop and execute component, subsystem, and system level test procedures to verify functionality of the VMS hardware and software
+ Coordinate with software engineers, subsystems/ design engineers, hardware engineers, test and integration, suppliers, systems engineering.
+ Investigate and resolve system anomalies across multiple engineering disciplines
The selected candidate should thrive in a fast-paced work environment with high expectations and collaborative/team settings across all levels.
**Basic Qualifications for Senior Principal Engineer Systems:**
+ Bachelor's Degree in a Science, Technology, Engineering, or Mathematics (STEM) field from an accredited university with 9 years of related engineering experience OR a Master's Degree (STEM) with 7 years of related engineering experience OR a PhD Degree (STEM) with 4 years of related engineering experience
+ A DOD Secret or higher security clearance is required prior to start
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance
**Preferred Qualifications:**
+ Master's Degree or PhD in Mechanical, Aerospace, Computer Science, Computer Engineering, Electrical Engineering discipline from an accredited university
+ Experience with digital bus and analog interfaces
+ Experience with engineering modeling and simulation
+ Experience with power electronics
+ Excellent oral, written, and interpersonal communication skills
+ Ability to work as part of a team, organize work, manage time, plan, and prioritize
+ A current active in-scope U.S. Government DoD Secret clearance with current or previous Special Program Access (PAR/SPA).
**Salary Range:** $129,700 - $194,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Redondo Beach, CAR10129280CaliforniaCAVehicle Management Systems (VMS) Senior Principal Systems Engineer- Active Clearance RequiredNone36A3490CE78741248566D2306DCC4492http://northropgrumman-veterans.jobs/36A3490CE78741248566D2306DCC449223Rocket CenterNorthrop GrummanUnited StatesUSA2024-03-27 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
This position description does not represent a current opening but may be used to identify candidates with skills and experience for positions within Northrop Grumman that frequently become available. Candidates who express an interest may be considered for future positions at Northrop Grumman.
**Basic Qualifications:**
+ High School Diploma/GED.
+ 2 years of experience operating manual and/or CNC metal machining equipment and/or the successful completion of an approved machinist training program.
+ The ability to work all three shifts.
+ The ability to work overtime as required.
**Duties:**
+ Operate various machine tools, including CNC Mills and CNC Lathes, as well as manual lathes and mills, using blueprints, sketches or shop drawings for each operation to create rough or finished parts to exacting tolerances.
+ Plan operational sequences, determine method of holding work, and select tooling required to machine parts in conformance with exact tolerances as dictated by drawings, sketches, or other authorizing documents.
+ Maintain high quality and productivity levels through application of the most effective machining techniques in compliance with the procedures for each operation.
+ Ability to write simple programs for short run parts using sketches, blueprints or shop drawings, or with supervisory approval, edit existing programs to expedite jobs and improve work quality.
+ Calculate and layout reference points, angles, and other dimensions from sketches, blueprints, or shop drawings using shop mathematics, including geometry and trigonometry.
+ Verify conformance of machined parts to reference documentation through the accurate use of precision measuring instruments and standardized measuring techniques.
+ Perform other duties as assigned by supervision.
**Technology Knowledge:** Mechanical ability, must exhibit skills sufficient to perform above duties.
**Business Process Knowledge:**
+ Support management strategies and plans
+ Promote safety and health
+ Understand business/production needs and expectations
+ Perform to the highest level of quality
+ Communicate effectively
**Business Process Management:** Reference, support, and utilize appropriate BPMs. Provide improvement suggestions where needed. Responsible for developing, implementing, and maintaining business processes in scope of responsibility.
**Quality:** Improve quality by continuously improving products and services for our customers. Our customers are not only those to whom we sell products but also the end user of any product or service we provide within the company.
**Accountability:** Work is frequently checked for quality, accountable for final results to manager, supervisor, group/lead person, and project engineer(s).
**Source of Work Direction:** Manager, supervisor, group/lead person, project engineer(s) as required.
**Compliance with organizational and governing bodies:**
+ EEO: Support the Equal Employment Opportunities policy through proper management of interpersonal and professional relationships with all employees in an effort to realize the potential of a diversified workforce.
+ Safety : Adhere to all safety rules, regulations, and standards as they apply to evaluating and controlling working conditions to assure the health and safety of all Alliant Techsystems employees.
+ Ethics : Support the implementation of, and adhere to, Alliant Techsystems' business ethics and conduct standards.
+ Quality: Improve quality by continuously improving products and services in accordance to specific needs of the customer, both internally and externally. Adhere to ISO standards of quality as specified in the organization's Universal Operating Procedures and General Operating Procedures.
**Salary Range:** $16 - $16
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Rocket Center, WVR10143475West VirginiaWVEntry Level MachinistNone60B1901D17904DB09A8076353E460821http://northropgrumman-veterans.jobs/60B1901D17904DB09A8076353E46082123BaltimoreNorthrop GrummanUnited StatesUSA2024-03-27 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is seeking skilled and motivated professionals for the role of Supply Chain Planning Specialist with experiences in supply chain management and/or related fields (including business and financial planning, contracts administration, project management, logistics, and manufacturing).
Defines and develops material strategy. Responsible for the assessment of supply resources; aggregates and prioritizes demand requirements; plans inventory, distribution requirements, production, material and rough-cut capacity for all products. Participates in make/buy process, long-term capacity and resource planning; product phase-in/phase-out, manufacturing ramp-up, end-of-life management and product-line management. Analyzes and aggregates supply / demand requirements. Analyzes purchase material supply/demand position using Material Requirements Planning (MRP) tools. Plans inventory levels based on dependent and independent Bill-of-Materials (BOM) requirements. Assesses rough-cut capacity for current and short-term manufacturing requirements. Creates/reduces supply requirements based on inventory adjustments. Participates in long-term capacity and resource planning to ensure proper scheduling of new product line phase-ins as well as existing product line phase-outs. Interfaces with Source process area regarding inventory levels, working capital and other areas as needed. Creates/releases requisitions. Receives/reviews requirements for non-standard parts. Analyzes lead times and identifies critical path procurements.
**This is a Band 2 position and will be located in Linthicum. MD. This role is a Hybrid role requiring 2 days in the NGC office.**
**The Supply Chain Planning Specialist's responsibilities will encompass:**
Providing support to the program team to evaluate material and equipment requirements throughout the life of the program.
Understanding the breadth of the supply chain management scope (including materials management, procurement, subcontracts, material finance, and supplier relationship management)
Planning and coordinating program requirements.
Monitoring and establishing material inventory.
Addressing supplier capacity and/or production issues
Evaluating suppliers and noting key performance indicators
Candidates must be able to make sound decisions on time, display strong interpersonal skills, and have an agile perspective of compliance protocol mandated by the regulated industry.
**Basic Qualifications:**
+ Bachelor's Degree and minimum of 3 years (1+ Years with Master's) of supply chain, program management, and/or business management experience
+ US citizen with the ability to obtain DoD Secret Clearance
+ Strong verbal and written communication skills
+ Demonstrated leadership, critical thinking, and innovative behaviors in past projects, roles, or experience.
+ Proficiency in MS Office suite
**Preferred Qualifications:**
+ Bachelor's in supply chain or business management
+ Knowledge of the Federal Acquisition Regulations.
+ Demonstrated experience with earned value management.
+ Demonstrated experience in large electronics production programs.
+ Current DoD Secret or higher security clearance
**Salary Range:** $68,800 - $103,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10153927MarylandMDSupply Chain Planning SpecialistNone64733F9A85B0465FBF51EA9F805BF04Bhttp://northropgrumman-veterans.jobs/64733F9A85B0465FBF51EA9F805BF04B23Edwards AFBNorthrop GrummanUnited StatesUSA2024-03-27 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems has an opening for a principal / senior Principal Flight Test Engineer (Loads/Dynamics to join our team of qualified, diverse individuals within our Test and Evaluation organization.**
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history
We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Systems Test Team is looking for experienced Flight Test Engineers to contribute to, and monitor acceptance tests during production, as well as first flight and beyond for a prototype aircraft and one of the nation's most important test programs.
The selected candidate will develop system knowledge of the prototype aircraft and be responsible for the safe and efficient data collection to verify requirements of the program and ultimately provide a platform for the warfighter. To do this, they will review and evaluate test requirements to ensure completeness of the test program, support and host test planning working groups, and be responsible for the authoring of acceptance test plans and detailed test plans. Using their test plans, these test engineers will monitor data during acceptance tests and ground and flight tests and perform data analysis to ensure the requirements meet the customer's needs.
The candidate must have excellent communication skills, must work well in a team environment, and must also be able to work independently in a dynamic environment because they will be responsible for making real-time decisions during testing regarding safety, efficiency, and effectiveness. They will be a subject matter focal point between maintenance, design engineering, and test site team - contractor and customer.
"This requisition may be filled at a higher grade based on qualifications listed below."
**Basic Qualifications:**
" **This requisition may be filled at either a Principal or a Sr. Principal Flight test Engineer level"**
**Principal Flight Test Engineer**
• A Bachelors in Science, Technology, Engineering or Math (STEM Degree).
• A minimum of 5 years of applicable experience with a BS or 3 years with a MS in (STEM).
**Senior Principal Flight Test Engineer**
• A Bachelors in Science, Technology, Engineering or Math (STEM Degree).
• A minimum of 9 years of applicable experience with a BS or 7 years with a MS in (STEM)
**Both Principal and Sr Principal level**
• Fixed wing aerodynamics experience
• Broad knowledge of wind tunnel data analysis and transformation techniques
• DoD Secret or Top Secret clearance as well as the ability to obtain a Special Program Access clearance.
• This position also requires experience in a control room environment.
• Overtime, odd shifts, and weekend work will occasionally be required.
**Preferred Qualifications:**
• In depth knowledge of or test experience, to include control room monitoring.
• Graduate level academic concentration in aerodynamics and Parameter Identification
• Aerodynamic/propulsion force and moment accounting
• Stability and Control experience
• Experience in Wind Tunnel test support role resulting in timely data reduction and database generation
• Flight test data analysis including system and parameter identification experience
• Experience with Aerodynamic database development for Six Degree of Freedom simulators
• Experience in MATLAB
**Salary Range:** $95,000 - $142,400
**Salary Range 2:** $117,700 - $176,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Edwards Afb, CAR10136060CaliforniaCAPrincipal / Senior Principal Flight Test Engineer - Loads/DynamicsNone680BD1A0C3DB42E29D51A99919EFD945http://northropgrumman-veterans.jobs/680BD1A0C3DB42E29D51A99919EFD94523CheltenhamNorthrop GrummanUnited KingdomGBR2024-03-27 06:15:45**Your Opportunity to Define Possible** **.** **Our Opportunity t** **o Deliver the Nation's Security** **. Together**
**Role clearance** **type:** **You must be able to gain and maintain the highest level of UK Government clearance**
**Location:** Cheltenham, Gloucestershire.
**About Your Opportunity:**
As an SRE Engineer you will be forward thinking, taking issues and finding repeatable, scalable & automated solutions with a mind set to continuously improve your work flow guided by metrics. You will be able to deploy applications in a controlled, repeatable way and have developed technical specialisms in frameworks and/or toolsets.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible and hybrid working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme** **-** exceptional performance is recognized through our annual incentive programme which is awarded to top performers who excel.
+ **Career Development** **-** opportunity for ongoing professional development and career growth opportunities.
**Your Responsibilities:**
+ Working alongside customers in their environments, using your expertise to drive their work forwards to the highest standards.
+ Defining and creating efficient, automated solutions, reducing manual effort and customer expense.
+ Defining and promoting high working standards and good code quality practices.
+ Assisting with required updates & out of hours maintenance as/when required.
+ Coaching & mentoring junior/graduate engineers.
**Your Experience:**
+ You will have been involved with the support for live (mission critical) systems, working with customers to fault find and resolve issues within strict time constraints.
+ Experience using Industry standard observability tooling ( ELK, Grafana, Prometheus), creating/maintaining these environments is a plus.
+ You will have a strong understanding & navigation of both Windows and Linux operating systems with efficient fault finding and debugging experience.
+ Experience with containerisation and orchestration tooling (Docker, Kubernetes/OpenShift)
+ Building and Developing in a Cloud environment (AWS, Azure), experience on both native tooling and standalone instances.
**Your Future Team** **:**
As an SRE engineer, you will work alongside one or more software teams providing infrastructure and platforms expertise. You will look be working with a customer base engaged with re-engineering their legacy code using appropriate modern tooling, standing up monitoring and automated processes to rapidly redefine and improve their efficiency. Your work will require a strong customer site presence, operating on their systems to maintain & upgrade simultaneously.
"As a Lead DevOps Engineer I investigate and implement the latest tools to design, build and support Linux based solutions, using Infrastructure as Code and automation tooling to build and deploy environments and applications across containerised and virtualised environments. I mentor the more junior members of the team in Linux and automation, driving code quality and best practice."
**Richard, Lead Linux Engineer, National Security Solutions @ Northrop Grumman UK**
Our focused and flexible Infrastructure Team consists of industry leading practitioners in engineering and systems administration across all specialisms and experience. We work with multiple customer sets and system classifications, on both public cloud and on premise. Collaboratively we deliver innovative solutions to extremely complex problems, providing our team a broad range of experiences from which to develop new skills and learning across the latest Infrastructure as Code and DevSecOps toolsets.
Diversity is at the heart of our success. Our team share experience, knowledge and new thinking gained from a wide range of backgrounds perspective, culture, gender, race, age and many other elements across several industries. We welcome candidates from all backgrounds and particularly from communities currently under-represented within our industry . We treat everyone with respect and foster safe and inclusive environments.
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And w ithin a respectful and inspirational environment, we value what you say and do.
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.Cheltenham, GBRR10139774Senior SRE EngineerNone7996948E900C466DA6BE163D7088F415http://northropgrumman-veterans.jobs/7996948E900C466DA6BE163D7088F41523AuroraNorthrop GrummanUnited StatesUSA2024-03-27 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems, a division within Northrop Grumman's Space Systems Sector is known for pushing the boundaries of innovation, redefining engineering capabilities, and driving advances in various sciences through cutting-edge technology. Our team is chartered with providing the skills, and innovative technologies to develop, design, produce, and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
Northrop Grumman Space Systems is seeking a Systems Engineer to join our team supporting our RUSHMORE program. This position would be based in the **Aurora, Colorado** area at **Buckley Space Force Base** .
This role performs functional analysis, timeline analysis, detail trade studies, requirements allocation, and interface definition studies to translate customer requirements into hardware and software specifications.
Job responsibilities will include, but not be limited to, the following:
+ Development of products and CONOPs for the 24x7 operation of a mission-critical system
+ Troubleshooting of real-world operations issues
+ Trending of operational data and simulation of products and CONOPs prior to transition to operations
+ Returning systems to an operational state, ensuring the health and safety of the systems while maximizing mission availability
+ Review or develop standard operating procedures that are executed real-time on the operational system for standard events and anomaly recoveries
+ Developing scripts and products to automate the operations of complex systems
+ Develop suites of operational products for newly delivered systems
**This position requires being onsite and does not support remote or hybrid work.**
This requisition may be filled at either a level 2 or a level 3, depending on the candidate's experience as laid out in the basic qualifications detailed below as well as the interview assessment.
**Basic Qualifications**
+ Level 2:
+ 2 Years of relevant experience with a completed Bachelor's-STEM degree; 0 Years of relevant experience with a completed Masters's-STEM degree; [completed STEM degree is required to be considered for this role]
+ Level 3:
+ 5 Years of relevant experience with a completed Bachelor's-STEM degree; 3 Years of relevant experience with a completed Masters's-STEM degree; [completed STEM degree is required to be considered for this role]
+ **Must be able to obtain a TS/SCI DoD security clearance WITH a CI Poly prior to start****
+ Willingness to work a non-traditional work week that will include occasional 12 hour shifts and weekends
+ Experience in Linux
+ Experience with scripting languages such as Perl or MATLAB
**Preferred Qualifications**
+ Prior operations and/or systems engineering experience
+ Experience working in a geographically diverse team and matrix organization
+ Experience with Atlassian tool suite (e.g. Confluence, JIRA, etc.)
+ Experience with Linux OS and scripting tools
To better support our employee's work/life balance, most NG worksites support a 9/80 work schedule. The 9/80 schedule allows employees to work a nine-hour day Monday through Thursday and take every other Friday off. This schedule or other work-hour flexibility options can be determined upon hire.
With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on Earth every day, now and for the future. Explore your future and launch your career today. #definingpossible
**Salary Range:** $83,300 - $124,900
**Salary Range 2:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Aurora, COR10154438ColoradoCOSystems Engineer / Principal Systems Engineer (**Must be able to obtain TS/SCI and CI Poly prior to start)None83203DCD4F7249C78ACEC53B102A7AA6http://northropgrumman-veterans.jobs/83203DCD4F7249C78ACEC53B102A7AA623CheltenhamNorthrop GrummanUnited KingdomGBR2024-03-27 06:15:45**Your Opportunity to Define Possible** **.** **Our Opportunity t** **o Deliver the Nation's Security** **. Together**
**Role clearance** **type:** **You must be able to gain and maintain the highest level of UK Government clearance**
**Location:** Cheltenham, Gloucestershire.
**About Your Opportunity:**
Infrastructure Engineers are critical to the success of our market leading and agile delivery teams across Northrop Grumman. Collaborating with Architects, Software Developers and Testers, we align complex systems to exacting standards and deliver mission value that transforms client systems, whilst delivering improvement in reliability, cost and organisational agility.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible and hybrid working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme -** exceptional performance is recognised through our annual incentive programme which is awarded to top performers who excel
+ **Career Development -** opportunity for ongoing professional development and career growth opportunities
**Your Responsibilities:**
+ Work with stakeholders to design, build and maintain mission critical systems
+ Administering systems, applications and databases based on Linux operating systems
+ Maintaining and troubleshooting IP networking systems
+ Automating system deployment, operation and maintenance using Infrastructure as Code and Configuration Management tooling
+ Continuously expand your own skills, maintaining and enhancing relevant certifications
**Your Experience:**
+ 5+ years' experience working within IT Systems Design, Deployment and Administration, with recent roles in Technical or Team Leadership positions;
+ Extensive experience of administration of Linux and Windows Operating Systems within large corporate environments;
+ Experience of the Elastic Stack - Elasticsearch, Kibana;
+ Experience of administering Containerised systems in Docker, OpenShift and/or Kubernetes;
+ Experience of automating tasks using Ansible, Bash, PowerShell, Puppet or Python;
+ Experience of AWS to Associate level or equivalent experience;
+ Experience of DevOps approaches and CI/CD tooling - Jenkins desirable;
+ Experience of designing, deploying & troubleshooting IPv4 Networking, including Subnetting, OSPF Routing, VLANs and VPNs;
**Your Future Team:**
"As a Lead DevOps Engineer I investigate and implement the latest tools to design, build and support Linux based solutions, using Infrastructure as Code and automation tooling to build and deploy environments and applications across containerised and virtualised environments. I mentor the more junior members of the team in Linux and automation, driving code quality and best practice."
**Richard, Lead Linux Engineer, National Security Solutions @ Northrop Grumman UK**
Joining the Infrastructure team gives a great variety of opportunity, working with the latest Infrastructure as Code and DevSecOps toolsets to deliver innovative solutions to extremely complex problems.
Our focused and flexible Infrastructure Team consists of industry leading practitioners in engineering and systems administration across all specialisms and experience. We work with multiple customer sets and system classifications, on both public cloud and on premise. Collaboratively we deliver innovative solutions to extremely complex problems, providing our team a broad range of experiences from which to develop new skills and learning across the latest Infrastructure as Code and DevSecOps toolsets.
Diversity is at the heart of our success. Our team share experience, knowledge and new thinking gained from a wide range of backgrounds perspective, culture, gender, race, age and many other elements across several industries. We welcome candidates from all backgrounds and particularly from communities currently under-represented within our industry . We treat everyone with respect and foster safe and inclusive environments.
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And within a respectful and inspirational environment, we value what you say and do.
**How to Apply:**
**Interested in our opportunity?**
**Yes** - then simply submit your application online. Your application will be reviewed by one of our expert recruiters who'll then respond advising you of the outcome and next steps for successful candidates.
**Possibly, I'd like to find out more** - then connect direct with talentenquiries@uk.ngc.com , where one of our recruitment business partners will be happy to support you with any enquires.
Background checks and potentially security clearance form part of the recruitment process, our team will inform you of the procedures when required.
**Northrop Grumman UK:**
Work with a global brand that makes a real contribution to our nation's security and future. At Northrop Grumman UK, the brightest minds come together to push the boundaries and Define Possible. As leaders in the digital transformation of Aerospace, Defence and Intelligence we are providing ground-breaking outcomes for our customers.
**UK Cyber & Intelligence Business** **:**
Our UK Cyber & Intelligence business combines modern software development approaches with a rich heritage and experience in the Defence and security sectors. Our customers have complex and sensitive data and information requirements requiring a mission partner who quickly understands the context, delivering and sustaining a portfolio of challenging technology projects at scale and pace, supporting them through an ambitious digital transformation programme.
**UK Defence Business** **:**
Our UK Defence business is a Sovereign software and systems centre of excellence. As well as developing and supporting UK wide and internationally deployed multi-domain command and control systems, our work is critical to the modern backbone of the Royal Navy. We pioneer - with fierce curiosity, dedication, and innovation, we seek to solve the world's most challenging problems.
**Find out more** : https://www.northropgrumman.com/careers/job-search-united-kingdom/
\#LI-CJ1
\#LI-HYBRID
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.Cheltenham, GBRR10143105Lead Infrastructure EngineerNone8ECF10F4FE754AB6B5085C46C55D2F30http://northropgrumman-veterans.jobs/8ECF10F4FE754AB6B5085C46C55D2F3023LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As an integral part of our Systems Engineering Integration and Test (SEIT) team in Linthicum, MD, you will support test and verification activities of RF, EO/IR, EW, SIGINT or SATCOM.
This will be an on-site position located in Linthicum, MD. This requisition may be filled as a Principal Systems Engineer or a Senior Principal Systems Engineer.
**Roles and Responsibilities:**
+ Perform hands-on integration and testing of sophisticated RF sensor systems, including both hardware and software components in development and production environments .
+ Synthesizes internal and external customer needs and requirements into system tests that acknowledge technical and schedule constraints .
+ Participates in the development and execution of comprehensive test plans, procedures, and schedules for verifying system requirements .
+ Participates in I&T readiness reviews, design reviews and conducts audits of I&T program facilities .
+ Coordinates integrated testing activities that may include environmental, reliability and the occasional flight test .
+ Reviews and evaluates test requirements to ensure completeness of test program .
+ Performs analysis of test results and prepares comprehensive reports .
+ Uses modeling and simulation tools to expedite testing of individual units before all system components are available and for troubleshooting and repair of failed units .
**Basic Qualifications for a Principal Systems Engineer:**
+ Bachelor's degree with 5 years of experience, a Master's degree with 3 years of experience or a Ph.D. with 0 years of experience in Science, Technology, Engineering, Mathematics, or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S. Citizenship is required.
+ The ability to obtain/maintain an Active DoD secret clearance and Special Program Access (SAP) prior to start is required.
+ Experience with lab test equipment: i.e.: function generator, oscilloscope, multi meter, network analyzer, etc.
+ Experience troubleshooting and resolving complex HW/SW integration problems .
+ Knowledge of RF, Radar Systems, EW, EOIR
+ Experience working in a multi-disciplinary team environment .
+ Knowledge of embedded systems design and implementation .
**Basic Qualifications for a Senior Principal Systems Engineer:**
+ Bachelor's degree with 9 years of experience, a Master's degree with 7 years of experience or a Ph.D. with 4 years of experience in Science, Technology, Engineering, Mathematics, or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S. Citizenship is required.
+ The ability to obtain/maintain an Active DoD secret clearance and Special Program Access (SAP) prior to start is required.
+ Experience with lab test equipment: i.e.: function generator, oscilloscope, multi meter, network analyzer, etc.
+ Experience troubleshooting and resolving complex HW/SW integration problems .
+ Knowledge of RF, Radar Systems, EW, EOIR
+ Experience working in a multi-disciplinary team environment .
+ Knowledge of embedded systems design and implementation .
**Preferred Qualifications:**
+ Advanced degrees in Science, Technology, Engineering, Mathematics or related technical fields.
+ Active DoD Secret Clearance or higher
+ Previous experience working on a Special Access Program
+ Experience with Control Account Manager (CAM)/ Earned Value Management System (EVMS)
+ Experience with proposals, basis of estimate creation, etc.
+ Experience testing software written in C, C++.
+ Experience developing scripts in python to automate manual processes.
+ Experience coding in MATLAB to support capability characterization.
+ Knowledge and experience with Agile principles, tools and implementation
This position is contingent upon the ability to obtain or maintain an active DoD Secret clearance with Special Program Access (SAP) prior to start.
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10143637MarylandMDPrincipal Systems Engineer / Senior Principal Systems Engineer - Integration and TestNone9941ED9CD0F54E82A32F5C870BFC1E09http://northropgrumman-veterans.jobs/9941ED9CD0F54E82A32F5C870BFC1E0923El SegundoNorthrop GrummanUnited StatesUSA2024-03-27 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As a leader in the Survivability National Directorate, you will be responsible for leading a team developing cutting edge Survivability solutions. Our work influences the design of the next generation of aircraft and is a driving force behind the investment decisions of our senior leadership and customer program offices. We work closely with our external customers and internal collaborators to further the technologies at the heart of Aircraft Survivability. We frequently collaborate across functional disciplines, offering highly unique opportunities to obtain a broad understanding of many technical areas, or to more narrowly focus on developing a deep expertise in any one of our sub-disciplines.
This position will be located in California at either of our Palmdale or South Bay (Redondo Beach / El Segundo) facilities. The successful candidate will fill the role of Section Manager for a team of Survivability Engineers, in a dual-hat role responsible for both section management (up to 20% of time) and program/projects or other technical responsibilities (80% or more of time).
**Responsibilities include (but are not limited to) the following:**
+ Leading a section of Survivability engineers (12-20 personnel)
+ Hiring, developing, training, and retention of section talent. Hiring will include university and experienced candidates.
+ Providing leadership and direction to team members and managing employee performance
+ Day-to-day operation of the organization including employee movement and balancing of resources among programs, and resolving critical/urgent program/technical issues
+ Collaboration and communication between Survivability Engineering and program management
+ Promoting the professional development of the Survivability Engineering team and fostering a collaborative culture that sustains the momentum around employee engagement and inclusion, affordability, innovation, value growth, and continuous improvement.
+ Providing clear assignments, helping employees connect their efforts to the bigger picture, creating an inclusive work environment characterized by mutual respect and the valuing of differences, and building a culture that motivates employees to their highest levels of performance.
+ Executing on one or more programs (ranging from small IR&D activities to large programs, potentially including capture efforts) in a technical capacity
**Basic Qualifications:**
+ Bachelor's Degree in a Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university and 9+ years of engineering experience, or 7 years with a Master's degree
+ Prior experience in, or knowledge of, one or more Survivability disciplines or related.
+ Excellent written and verbal communication, mentoring, and interpersonal skills.
+ Active DoD SECRET (or higher) clearance, within 5 years of last investigation
+ The ability to obtain and maintain a special access program clearance.
**Preferred Qualifications:**
+ MS degree in aerospace, mechanical, electrical, materials science, or chemical engineering; physics; chemistry; computer science; or applied mathematics from an ABET accredited university.
+ Demonstrated success in one or more Survivability disciplines (Low Observables / LO Technologies, Stealth Signature Management, RF Engineering, RF Design and Analysis, Materials & Processing Engineering, IR and Acoustics, CEM Modeling and Analysis, High Powered Computing, etc.)
+ 5+ years of direct experience leading teams and managing projects; experience leading a team of diverse individuals toward a common objective.
+ Previous experience leading projects in the SAP/SAR environment; strong project management and team development skills
+ Existing special access program clearance
+ Active DoD TOP SECRET clearance, within 5 years of last investigation
**Salary Range:** $143,500 - $215,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.El Segundo, CAR10101205CaliforniaCAManager Systems Engineering 2NoneEEB2924FB5B54374BEECD04C003FE835http://northropgrumman-veterans.jobs/EEB2924FB5B54374BEECD04C003FE83523DullesNorthrop GrummanUnited StatesUSA2024-03-27 06:15:45At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career launching innovative, reliable, and agile products and ideas into orbit, and beyond - literally! Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, space launch, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today. Are you interested in expanding your career through experience and exposure, all while supporting a mission that seeks to ensure the security of our nation and its allies? If so, then Northrop Grumman Space Systems sector is the place for you. Northrop Grumman's Tactical Space Systems division (TSSD) is currently seeking a Proposal Illustrator 3/4 to join our team in Dulles VA.
As a Proposal Illustrator, you will be creating technical illustrations and graphics in conjunction with direct internal customer interaction ensuring on-time delivery of company proposals.
**Job responsibilities will include, but not be limited to:**
+ Supporting new business development by direct involvement in proposal production in response to civil and government Solicitations (i.e., RFPs, RFI, BAAs, etc.).
+ Expertise in translating facts and features of subject material into graphics concepts that best convey intended meaning. Creates graphic presentations that communicate mood, emphasis, insight, viewpoint, and similar visual impressions.
+ Using advanced illustration techniques to translate complex engineering operations, products, flow charts, and diagrams that easily portray intended meaning.
+ Uses Adobe Creative Suite to conceive, design and illustrate complex infographics and editorial renderings, graphs and other forms of illustration as needed.
+ Provides guidance on graphic technology and corporate brand.
+ Serving as proposal lead responsible for on-time production of proposal graphics, creation and quality control of proposal graphics, attending proposal kick-off and daily stand-up meetings, creating art templates per RFP requirements, providing metrics of proposal graphics for future planning, and developing proposal art processes.
+ Collaborating with off-site departments to develop company standards/formats including proposal art templates, color palette, and guidelines.
+ Following the proper execution of department standards, formats and proposal production procedures.
+ Formatting of internal customer briefings, posters, and signage and other graphics, as needed.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Basic Qualifications:**
**This requisition may be filled at either a level 3 or a level 4.**
**Basic Qualifications for a Level 3:**
+ Bachelor's degree from accredited institution with 6+ years of related professional technical illustration experience in support of technical publications - OR - a Master's degree with 4+ year of related professional technical illustration experience in support of technical publications. May consider 4 additional years of experience in lieu of a degree.
**Basic Qualifications for a Level 4:**
+ Bachelor's degree from accredited institution with 10+ years of related professional technical illustration experience in support of technical publications - OR - a Master's degree with 8+ years of related professional technical illustration experience in support of technical publications. May consider 4 additional years of experience in lieu of a degree.
**Additional Basic qualifications for both levels:**
+ Advanced skill in Adobe Creative Cloud (InDesign, Illustrator, Photoshop, and Acrobat).
+ Advanced skill in Microsoft Office Software (PowerPoint and MS Word).
+ Ability to work in a secured environment and obtain a Top Secret security clearance. US citizenship is a prerequisite.
**Preferred Qualifications:**
+ Aerospace and Defense contracting experience.
+ Active DoD Top Secret security clearance. US Citizenship is a prerequisite.
NGComms
**Salary Range:** $86,300 - $129,500
**Salary Range 2:** $107,000 - $160,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Dulles, VAR10129272VirginiaVAProposal Illustrator 3/4NoneF7B7C345630B4FD48AE2BA6C8E1F52AFhttp://northropgrumman-veterans.jobs/F7B7C345630B4FD48AE2BA6C8E1F52AF23OxnardNorthrop GrummanUnited StatesUSA2024-03-27 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
An organization within Northrop Grumman's Payload and Ground Systems (PGS) division, Mission Enabling Products (MEP) is a leading designer, producer, and supplier of spacecraft components that power and enable satellites of all classes. Products include spacecraft panels, bus structures, precision optical structures, deployable structural systems and mechanisms, solar arrays, and antenna reflectors. Our products are on virtually every U.S. satellite built in the last 20 years.
Learn more about Spacecraft Components at our website: https://www.northropgrumman.com/space/spacecraft-components/ .
Northrop Grumman's Mission Enabling Products, Deployables team has an opening for a Quality Engineering Manager 1 to join our team of qualified, diverse individuals within our Quality Engineering organization. The Quality Engineering Manager 1 will support the requirements of the solar arrays and deployables manufacturing. This position will have day-to-day responsibilities managing approximately 10-15 Quality Engineers located in our Oxnard and Goleta facilities. This position will be based in Oxnard, CA with regular visits to Goleta,CA.
**Core Responsibilities:**
+ Accountable for meeting or exceeding the Safety, Quality, Employee, Delivery and Cost expectations for internal and external customers and within the Quality function.
+ Lead and manage a team of Quality Engineers
+ Collaborate and coordinate with other functional managers, process center leaders, value stream leaders and value stream owner(s) to meet business and program objectives.
+ Accountable for maintenance of the Quality Management System (QMS) and AS/ISO/NADCAP certifications across the Operating Unit
+ Serve in the role of Management Representative as required per AS9100 and coordinates 3rd party audits, including ensuring resources are prepared and available to support.
+ Provide leadership, as the chair, for the Procurement Quality Board (PQB) and Corrective Action Board (CAB) and facilitates the Material Review Board (MRB) process in collaboration with engineering.
+ Lead root cause and corrective action process (RCCA) and analysis on failure investigations for high impact issues and lead personnel in use of RCCA for low impact level issues.
+ Responsible to determine and manage technical quality document preparation, including quality plans, inspection plans, end item data packages (EIDP) in accordance with customer requirements and statements of work.
+ Manage the review and approval of quality requirements for manufacturing planning, purchase orders (PO's), and engineering drawings / specifications to ensure customer requirements are met.
+ Support customer proposals by providing technical, budget and scope information as it pertains to the proposal process.
+ Maintain the process for tool, equipment, and machinery calibration controls.
**Basic Qualifications:**
+ 5 years of relevant experience with a Bachelor's degree; 3 years of relevant experience with a Masters' degree; 0 years of relevant experience with a PhD; an additional 4 years of experience may be considered in lieu of degree
+ Experience managing people preferred
+ Strong knowledge and experience with Quality Management Systems (AS9100, ISO 9001, NADCAP and government standards) and Certifications
+ Lean/Six Sigma experience, conducting or managing internal audits
+ Knowledge of mechanical and non-destructive inspection techniques
+ Knowledge of engineering GD&T principles
+ Strong passion and relentless drive for achieving results in a fast-paced aerospace engineering and manufacturing environment.
+ Excellent oral and written communication, data analysis and problem-solving skills.
+ Must be willing and able to to travel to Goleta, CA site approximately 40% of the time / 2 days per week.
**Preferred Qualifications:**
+ Preferred candidates would also have knowledge of deployable mechanism technology and advanced manufacturing processes
+ Experience with Supplier Quality and Performance Management
+ Personnel management experience
+ Planning and budgeting experience
**Salary Range:** $104,600 - $156,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Oxnard, CAR10137359CaliforniaCAQuality Engineering ManagerNone06466278B4A043379B352D9D978A26E6http://northropgrumman-veterans.jobs/06466278B4A043379B352D9D978A26E623BaltimoreNorthrop GrummanUnited StatesUSA2024-03-27 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems Sector has an immediate need for a **Principal or Senior Principal** **Manufacturing Process Engineer to support the Final Assembly area** within manufacturing. The primary location is our Linthicum, MD facility.
**What's Great About Working for Northrop Grumman:**
**Be part of a culture that thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work.
**Use your skills to build and deliver innovative tech solutions that protect the world and shape a better future.
**Enjoy benefits like work-life balance, education assistance and paid time off.
**Many sites have 9/80 days, telework and flexible scheduling options, plus generous paid time off.
**Northrop Grumman was recognized as one of the world's most flexible employers by Sustainability Magazine in 2023.
**Northrop Grumman has made the Top 50 list for Diversity Inc. for 14 consecutive years!
**Manufacturing Process Engineer has responsibilities that include, but are not limited to:**
**Factory Support:**
+ Using complex drawings and parts lists to develop assembly work instructions
+ Applying knowledge of electronics assembly to assist the build team
+ Helping build team meet the requirements of J-STD-001, IPC-610, IPC-620, and relevant MIL-STDs
+ Developing and implementing assembly tooling and fixtures
+ Solving of technical manufacturing problems (related to materials, designs, equipment and tooling, training and instructions) to support production
+ Developing rework processes and instructions
+ Monitoring process yields and cost, recommending and implementing quality improvements to drive improved metrics
+ Assisting with the training of new personnel and participation in the design of operation training materials
+ Supporting factory maintenance personnel with the troubleshooting and repair of process equipment
+ Creating and documenting designs for product specific tooling
+ Assisting development of capacity models, workspace layouts, and product workflow routings
**Process Development:**
+ Developing and revising process documentation
+ Providing ROI justification for process improvements
+ Identifying gaps in process capabilities, potential process improvements, capacities, and new technologies for manufacturing
+ Specifying, identifying and procuring new manufacturing equipment for production
+ Creating and managing project plans (tasks, resources and schedules) for process development activities and new equipment implementation
+ Developing and optimizing process parameters
+ Collaborating with the entire manufacturing team in using lean and continuous improvement tools (PDCA, DOE, SPC, TPM, TQM, etc.)
+ Developing new and maintaining, or improving, existing manufacturing processes with a focus on production capabilities and affordability
**Design and Engineering Support:**
+ Recommending product design improvements to improve manufacturability
+ Documenting state-of-the-art process capabilities and guidelines for product designers
+ Participating in design producibility reviews for new products
+ Utilizing Design to Cost (DTC), Design for Manufacturing (DFM), and Design for Automation (DFA) tools to deliver cost-effective solutions to our customer
+ Assisting design engineering's understanding of the impact to manufacturing for the realized design
+ Collaborating with the design team to resolve technical problems occurring during product realization
**Miscellaneous:**
+ Providing supplier support, as needed, to resolve issues within supply base
+ Providing Request for Proposal (RFP) input specifically as it relates to Non-Recurring Engineering (NRE) requirements such as tooling, capital equipment and/or new process development
+ Travelling occasionally to suppliers and customers may be required
The chosen candidate must be able to multi-task in a fast-paced, dynamic, and high visibility environment; work well in a team environment; and have excellent written and verbal communication skills.
This requisition may be filled as a Principal Manufacturing Process Engineer or a Senior Principal Manufacturing Process Engineer based on the qualifications listed below.
**Basic Qualifications for a Principal Manufacturing Process Engineer:**
+ Bachelor's degree or higher in Engineering or other related STEM area and at least 5 years of experience in Manufacturing/Process Engineering, Production, or related area - OR - a Master's degree with 3 years of experience
+ Hands-on experience with electronics assembly processes and equipment such as epoxy dispensing and curing, conformal coating, thermal interface material dispense/application, soldering, mechanical assembly, Automated Optical Inspection, component/assembly test, etc.
+ 3D Solid Modeling experience using a CAD software
**Basic Qualifications for a Senior Principal Manufacturing Process Engineer:**
+ Bachelor's degree or higher in Engineering or other related STEM area and at least 9 years of experience in Manufacturing/Process Engineering, Production, or related area - OR - a Master's degree in a STEM area and 7 years of experience
+ Hands-on experience with electronics assembly processes and equipment such as epoxy dispensing and curing, conformal coating, thermal interface material dispense/application, soldering, mechanical assembly, Automated Optical Inspection, component/assembly test, etc.
+ 3D Solid Modeling experience using a CAD software
**Preferred Qualifications:**
+ Familiarity with J-STD and MIL-STD requirements, including MIL-STD-883 & MIL-PRF-38534, J-STD, IPC
+ 3D modeling experience in Unigraphics/NX
+ 3D modeling experience with assembly tooling, concept development, and tool creation
+ Experience with the Teamcenter Manufacturing tool suite and Manufacturing Process Planning
+ Familiarity with Design of Experiment (DOE), Statistical Process Control (SPC), and 6 sigma concepts for process development and control
+ Knowledge of Geometric Dimensioning and Tolerancing (GD&T)
+ Robotics or automation experience
MANUMS
**Salary Range:** $93,000 - $139,600
**Salary Range 2:** $115,400 - $173,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10143930MarylandMDPrincipal/Senior Principal Manufacturing Process Engineer - Electronics Final AssemblyNone0E9D3786186B4B8C98C8C3CBBACC9A76http://northropgrumman-veterans.jobs/0E9D3786186B4B8C98C8C3CBBACC9A7623CheltenhamNorthrop GrummanUnited KingdomGBR2024-03-27 06:15:44**Your Opportunity to Define Possible** **.** **Our Opportunity t** **o Deliver the Nation's Security** **. Together**
**Role clearance** **type:** **You must be able to gain and maintain the highest level of UK Government clearance**
**Location:** Cheltenham, Gloucestershire.
**About Your Opportunity:**
As a Lead SRE Engineer you will be forward thinking, taking issues and finding repeatable, scalable & automated solutions with a mind set to continuously improve your work flow guided by metrics. You will be able to deploy applications in a controlled, repeatable way and have developed technical specialisms in frameworks and/or toolsets.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible and hybrid working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme** **-** exceptional performance is recognized through our annual incentive programme which is awarded to top performers who excel.
+ **Career Development** **-** opportunity for ongoing professional development and career growth opportunities.
**Your Responsibilities** **:**
+ Working alongside customers in their environments, using your expertise to drive their work forwards to the highest standards.
+ Defining and creating efficient, automated solutions, reducing manual effort and customer expense.
+ Defining and promoting high working standards and good code quality practices.
+ Assisting with required updates & out of hours maintenance as/when required.
+ Leadership, coaching & mentoring.
**Your Experience** **:**
+ You will have taken ownership of the support for live (mission critical) systems, working with customers to fault find and resolve issues within strict time constraints.
+ Experience using Industry standard observability tooling ( ELK, Grafana, Prometheus), creating/maintaining these environments is a plus.
+ You will have a strong understanding & navigation of both Windows and Linux operating systems with efficient fault finding and debugging experience.
+ Experience with containerisation and orchestration tooling (Docker, Kubernetes/OpenShift)
+ Building and Developing in a Cloud environment (AWS, Azure), experience on both native tooling and standalone instances.
**Your Future Team** **:**
As an SRE engineer, you will work alongside one or more software teams providing infrastructure and platforms expertise. You will look be working with a customer base engaged with re-engineering their legacy code using appropriate modern tooling, standing up monitoring and automated processes to rapidly redefine and improve their efficiency. Your work will require a strong customer site presence, operating on their systems to maintain & upgrade simultaneously.
"As a Lead DevOps Engineer I investigate and implement the latest tools to design, build and support Linux based solutions, using Infrastructure as Code and automation tooling to build and deploy environments and applications across containerised and virtualised environments. I mentor the more junior members of the team in Linux and automation, driving code quality and best practice."
**Richard, National Security Solutions @ Northrop Grumman UK**
We believe that creating a team that values diversity and fosters inclusion is essential to great performance. We know the best ideas come from diversity of thought, background, perspective, culture, gender, race, age and many other elements. We welcome candidates from all backgrounds and particularly from communities currently under-represented within our industry . We treat everyone with respect and foster safe and inclusive environments.
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And w ithin a respectful and inspirational environment, we value what you say and do.
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.Cheltenham, GBRR10139775Lead SRE EngineerNone1F6EB6E73C504920BB53039C502E9F4Chttp://northropgrumman-veterans.jobs/1F6EB6E73C504920BB53039C502E9F4C23Vandenberg AFBNorthrop GrummanUnited StatesUSA2024-03-27 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Principal/Sr Principal Engineer** to join a team of qualified and diverse individuals. This position will be located on **Vandenburg Space Force Base (VSFB), CA** , in support of the Sentinel (GBSD) program and will be the Site Safety & Health Officer (SSHO) for all construction activities associated with the Construction Team within the Infrastructure (IDT) activities on base.
**What You'll Get To Do:**
+ Manage Safety on active construction sites ensuring compliance with U.S. Army Corps of Engineers (USACE) EM-385-1-1 safety manual.
+ Maintain a commitment to safety protocols that lead to an incident and injury free construction project site.
+ Administer Northrop Grumman's construction Environment, Health, and Safety (EHS) program across an active construction project.
+ Write comprehensive construction project safety plans.
+ Organize and lead safety and health committees.
+ Develop, coordinate, and implement overall project specific safety programs.
+ Conduct monthly EHS audits.
+ Provide EHS training and education to Northrop Grumman project crews.
+ Conduct incident investigation and reporting.
+ Enforce corporate and project specific EHS programs as well as federal, state, and local safety standards.
+ Develop and maintain positive relationships with stakeholders, including Northrop Grumman staff, clients, and public agencies.
+ Protect the Company and your fellow employees from loss and injury due to accidents.
+ Interpret, recommend, and comply with environmental, health and safety regulations and company policies.
+ Assist in planning for changes in physical facilities to meet new EPA/OSHA requirements.
+ Develop and implement required EHS programs and training.
+ Conduct inspections, audits, and incident investigations, and drive corrective actions.
+ Prepare applications for any new required EPA permits and renewals.
+ Provide input to federal and state regulators, through trade associations and industry groups, for the writing and revision of regulations.
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Basic Qualifications:**
+ **Principal Engineer EHS:** Minimum of 5 years of full-time Environmental, Health, and Safety experience, with a bachelor's degree in the Safety Sciences, Engineering, Architecture, or Construction Management; 3 Years with Masters; 0 Years with PhD. 4 additional years may be considered in lieu of degree.
+ **Sr Principal Engineer EHS:** Minimum of 9 years of full-time Environmental, Health, and Safety experience, with a bachelor's degree in the Safety Sciences, Engineering, Architecture, or Construction Management; 7 years with Masters; 4 yrs with PhD. 4 additional years may be considered in lieu of degree.
+ Must be a US citizen and with the ability to Obtain and Maintain DOD Secret Security Clearance and program access as required.
+ OSHA 30.
+ Possess a thorough knowledge of and experience administering EHS programs governed by the U.S. Army Corps of Engineers (USACE) EM-385-1-1 safety manual.
+ Five (5) years of continuous construction industry safety experience in supervising/ managing general construction (managing safety programs or processes or conducting hazard analyses and developing controls), or
+ Five (5) years of continuous general industry safety experience in supervising/ managing general industry (managing safety programs or processes or conducting hazard analyses and developing controls).
+ Hold a current Third-Party, Nationally Accredited (ANSI or National Commission for Certifying Agencies - NCCA) SOH-related certification, only 4 years of experience is needed.
+ Demonstrated competency in managing crane, electrical, fall protection, excavation, scaffolds, confined space safety and administrating PPE safety programs.
**Preferred Qualifications:**
+ Certified Safety Professional.
+ In scope active DOD Clearance Secret Level or Above.
+ Ability and willingness to be assignable across the U.S.
+ OSHA 500.
+ Experience supporting Northrop Grumman or government contracting/DoD facilities.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401K
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
**Salary Range:** $95,000 - $142,400
**Salary Range 2:** $117,700 - $176,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Vandenberg Afb, CAR10153501CaliforniaCASentinel (GBSD) Construction Principal/Sr. Principal Engineer EHS - 11478*None29489B8488DF4F89A5D3C44C3E3215C9http://northropgrumman-veterans.jobs/29489B8488DF4F89A5D3C44C3E3215C923BethpageNorthrop GrummanUnited StatesUSA2024-03-27 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The **Power Systems Engineer** researches, develops, designs, and tests electrical components, equipment, systems, and wiring. Designs electrical equipment, facilities, components, products, and systems for military purposes.
Researches, develops, designs, and tests electrical components, equipment, systems, and networks. Designs electrical equipment, facilities, components, products, and systems for commercial, industrial, and domestic purposes.
+ Engineering efforts includes management of generator system design, Spec/SOW development, schedules, SDRLs, and supplier coordination.
+ Familiarity with manufacturing/assembly/quality processes, procedures, and documentation will be required for this effort.
+ Also function as a 1/4 -time CAM: capable of understanding, tracking and reporting progress on engineering, analysis, manufacturing, and test tasks at NGC and Honeywell
+ Develop and deliver the following engineering data for items within the power, generation, distribution (PGDS):
+ Electrical systems schematics - to include wiring interconnects between WRAs
+ Mechanical CAD drawings - to include tolerances and maintenance inspection limits
+ Troubleshooting flow diagrams - to include troubleshooting steps and intended system response for each BIT fault
+ Develop and maintain Electrical Load Analysis for the PGDS using CAPITOL or similar tool
+ Hands-on support of various pod configurations (CMM, JMM, MSP, OPP)
+ Familiarity with manufacturing/assembly/quality processes, procedures, and documentation will be required for this effort
**Basic Qualifications Principal Power Systems Engineer:**
+ Bachelors in Mechanical, Aerospace Engineering, or a STEM discipline AND 14 years of engineering experience, OR Master's degree in a STEM discipline AND 12 years of engineering experience, OR PhD in STEM discipline AND 9 years or engineering experience
+ This position is contingent upon the ability to obtain/maintain DoD Secret Clearance, and Contract Award.
**Preferred Qualifications:**
+ Knowledge of NX solid modeling software and Teamcenter data management software is desirable but not required.
+ Experience with generator design
+ Active Secret clearance
**Salary Range:** $165,000 - $247,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Bethpage, NYR10124959New YorkNYStaff Power Systems EngineerNone2DCB95323F944C948FFE491285F7DD77http://northropgrumman-veterans.jobs/2DCB95323F944C948FFE491285F7DD7723LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking an Electronic Data Specialist to work in Baltimore, MD.
**Primary Function** :
Monitor and control the operation of a large scale multi-programmable, multi-processor environment. Maintain the development of network systems. Perform job mix analysis continually to maximize utilization of computer resources. Establishment and implementation of schedules and priorities. Identify points of various hardware malfunctions and take appropriate corrective actions. Utilize updated technology to facilitate transfer to and from factory business systems.
In addition to performing the job duties described for the Electronic Transfer Operator B, perform the following:
+ Initiate continuous processing of jobs through PC-based systems and mini-mainframe environments. Perform tasks such as: disk saves, running utility jobs, program script initiation, data streaming and systems interpretation. Interact with advanced software technology in order to perform computer jobs to meet schedules and avoid processing time delays.
+ Interpret system communications and respond with appropriate action.
+ Investigate operation malfunctions based upon performance of area systems to determine cause of failure. Perform procedures in order to maintain operational status. Refer system operational problems to supervisor for their review and recommendations if problems persist.
+ Communicate with remote users concerning system status and jobs in process. Interact with system to deliver required response for job initiation and remote site connection.
+ Utilizing PC-based software applications, develop and maintain file management systems, databases and advanced spreadsheets. Control and update system hardware and software census records for divisional programs and inventory management.
+ Coordinate data transfer of all known file types between divisional programs and external data sites. Initialize and maintain pertinent data and records in reference to a divisional help desk.
+ Maintain equipment and work area in proper operating condition at all times. Initiate and maintain operations records and logs as required.
+ Coordinate process and vault release serialized programmable device data, which is generated as part of factory and field test operations. Prepare data transfer media (i.e., paper tapes, listings or magnetic discs) for delivery to customer.
+ Assist management in developing special projects/programs as necessary.
**This is an SEA Union Represented position.**
**This is a 1st shift position.**
**Basic Qualifications:**
**Education Requirement:**
+ **High School graduate with 15 college credits in computer development, systems operations, data transfer and data encryption courses or equivalent occupational experience**
**Experience Requirement:**
+ **2-4 years of hands-on experience including 18 months experience as an Electronic Data Coordinator.**
+ **Advanced knowledge and occupational experience in modern computer hardware/software environments.**
+ **TS/SCI with Polygraph clearance**
When applying to an internal posting, it is the responsibility of the employee to ensure detailed recent work experience is updated on the Workday Worker Record, to be considered for the open position. It is strongly recommended that an updated resume be included as part of your application.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10108728MarylandMDElectronic Data SpecialistNone428EB1618C564C169DE5BD5BCE08EC5Dhttp://northropgrumman-veterans.jobs/428EB1618C564C169DE5BD5BCE08EC5D23DullesNorthrop GrummanUnited StatesUSA2024-03-27 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a Manager Supply Chain Programs 1 to join our Tactical Space team in Dulles, VA.
We are looking for someone to be responsible and accountable for the planning and execution of the supply chain strategy for all material and services content for a Program or portfolio of Programs. Key responsibilities include program planning (i.e. developing a procurement plan for subcontracts and material, and aligning GSC resources to meet that plan), supply chain metrics (i.e. on-time to place, on-time to need), supplier performance (i.e. line of balance, material status, supplier risk/opportunity management), and financial management (i.e. budget, sales forecasting of subcontract milestones and material). The GSC PM will lead teams to ensure the placement and receipt of all material requirements support the program plan. If anything falls off plan, the GSC PM will be responsible to steer resources to address as appropriate. Acts as the main focal point for the Program Management Office (PMO) for the entire supply chain and coordinates cross-functionally to ensure that all supply chain commitments are met, and that appropriate mitigation plans are developed and executed to address any programmatic risks related to suppliers. Briefs the PMO and executive management on the status and issues affecting Program cost and schedule performance.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Job Duties:**
+ Develops the material strategy and leads the activities for GSC in all phases of the Business Acquisition Process (BAP)
+ Ensures that prior to program execution, all elements of the proposed program(s) plans have complete and accurate schedules, budgets, make/buy plans, and procurement and subcontracts strategies.
+ Lead supply chain Program execution and is accountable for resource planning, material cost, forecasting, schedule performance, risk/opportunity management, supplier performance, and supply chain issue resolution
+ Forecasts and manages activities within multiple disciplines across the Supply Chain process. Provides administrative, tactical and execution support in various aspects of the industry standard supply chain business process areas primarily Supply Chain Planning and Supply Chain Sourcing, as well as Supply Chain Business Operations.
+ Develop raw material requirements and scheduling requirements from engineering specifications and production schedules and plans and manages material movement with purchasing, warehouse, and production
+ Use Material Requirements Planning (MRP) systems
+ Regularly negotiate lead times with suppliers and manage schedules for timely delivery
**Basic Qualifications:**
+ Bachelor's degree with 6+ years of supply chain, program management, procurement, purchasing or closely related experience - OR - a Master's degree with 4+ years of supply chain, program management, procurement, purchasing or closely related experience. Additional years of experience accepted in lieu of a degree.
+ Solid understanding of general procurement and subcontract execution workflows
+ Solid understanding of general manufacturing and purchasing workflows
+ Ability to communicate with multiple levels internal to the organization and externally to suppliers
+ Ability to create and foster enduring relationships internally and externally with suppliers.
+ Ability to work under limited supervision. No instructions needed on routine work, and general instructions given on new lines of work or special assignments
+ Understanding of forecasting and planning with an emphasis on driving execution to need with an emphasis on working knowledge of Integrated Master Schedules
+ Familiarity with Material Resource Planning (MRP)
+ Capable of applying highly analytical approaches to problem solving.
+ Ability to maintain an organized workload and preserve attention to detail.
+ Must be able to obtain and maintain DoD Top Secret security clearance. US Citizenship is a prerequisite.
**Preferred Qualifications:**
+ Aerospace and defense experience
+ Track record working a supply chain program for a complex system integration application in a manufacturing environment.
+ Experience with Costpoint
+ Current active DoD Secret or Top Secret security clearance. US Citizenship is a prerequisite.
**Salary Range:** $115,000 - $172,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Dulles, VAR10154237VirginiaVAManager Supply Chain Programs 1 - Dulles VANone65A87BD0687E4C57811248CFD92C4017http://northropgrumman-veterans.jobs/65A87BD0687E4C57811248CFD92C401723San DiegoNorthrop GrummanUnited StatesUSA2024-03-27 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Description**
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history. Northrop Grumman Aerospace Systems is seeking a Principal Electronic Design Engineer. The selected candidate will report to the Northrop Grumman site in Rancho Bernardo, CA.
The Principal Electronics Engineer role involves full life-cycle product development from system concept and requirements through design, implementation, test verification, production, and field support while working in integrated product teams spanning Engineering, Manufacturing, and Program Management. Rancho Bernardo Circuit Design responsible engineers (RE) take on all tasks traditionally split between electrical engineer (EE), product engineer (PE) and printed circuit board (PCB) designer.
**What You'll Get To Do:**
You will have opportunities to support cradle to grave design, integration, test, production, and sustainment of electronic hardware.
**Roles & Responsibilities:**
+ Supporting the generation of detailed electronic schematics, CCA drawings, and bill of materials (BOMs) for electronic designs.
+ PCB/CCA design, development, test, and integration.
+ Electronic unit design, development, test, and integration.
+ Electronic Test System (Test Set) design, development, test, and post-delivery support
+ Manufacturing support during the hardware build process.
+ Demonstrated ability to translate system performance and operational specifications into hardware requirements, design, and test specifications.
+ Integration of Hardware & Software into a functioning system
+ Software and / or Hardware refresh initiatives.
+ Generating engineering change notices (ECN), updating models / drawings, and releasing appropriate documentation into Product Lifecycle Management (PLM) databases.
+ Performing work independently and as a member of a multi-disciplinary engineering team; perform successfully in a fast-paced, work environment.
**Basic Qualifications: Principal Electronics Design Engineer (Level 3):**
+ Bachelor's degree in Science, Technology, Engineering or Math (STEM) and a minimum of 5 years of relevant experience or related experience in either Analog, Digital or Mixed Signal Circuit design or a Master's degree in STEM and a minimum of 5 years of relevant experience or a PhD in STEM.
+ Solid understanding of circuit design theory; hands-on circuit design experience.
+ Strong problem solving and analytical skills.
+ Experience in CAD tools for electrical schematics and layout.
+ Strong communication skills, able to efficiently disseminate information to leadership and respective working group.
+ Ability to obtain and maintain a Secret clearance.
**Preferred Qualifications:**
+ Advanced degree in Engineering or related STEM discipline.
+ Working experience with Altium designer or similar ECAD tools.
+ Ability to work with minimal direction and guidance.
+ Experience with NI LabVIEW and /or C / C++ programming.
+ Experience with NI Test Stand.
+ Proficiency with digital, analog test equipment
+ Hands-on troubleshooting of electrical hardware
+ Experience with hand soldering, assembling, and repairing surface mount components installed on PWB.
+ Experience with hand soldering, assembling, and repairing wire harnesses and other electronic assemblies.
+ Prior hands-on prototyping and debug experience testing complex digital subsystems, such as complex circuit card development involving FPGAs, and/or embedded processors, and/or high-speed interfaces.
+ FPGA architecture and design experience with Microchip, AMD (Xilinx) and/or Intel (Altera) FPGAs and their application environments.
+ Experience with circuit board level debugging.
+ Familiarity with RS-422 and / or RS-485.
+ Familiarity with differential digital interfaces like LVDS - PCI/PCIe experience.
**Salary Range:** $107,300 - $160,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10145647CaliforniaCAPrincipal Engineer ElectricalNone665F92E39D294610B272E3916C7499F7http://northropgrumman-veterans.jobs/665F92E39D294610B272E3916C7499F723MesaNorthrop GrummanUnited StatesUSA2024-03-27 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman** is seeking a **Program or Principal Program Planning and Scheduling Analyst** for our location in **Mesa, AZ** .
**Responsibilities:**
+ Prepares, develops and coordinates the integrated master plan and integrated master schedule.
+ Ensures projects are horizontally and vertically integrated across functional groups including finance, engineering, material, manufacturing and quality.
+ Supports the organization by managing and coordinating program schedules that support meeting our customer's goals and expectations.
+ Responsible for supporting their respective programs by closely working with the Program Manager(s), Material Program Manager(s) and other key program personnel on schedule requirements.
+ Understands overall requirements of the proposal, design, procurement, manufacturing and testing as they apply to specific programs and generate schedules capable of tracking all these activities.
+ Responsible for analyzing schedules at least weekly to ensure program requirements are being met.
+ Monitors the schedules and reports status to the Program Manager and appropriate IPT leads.
+ Helps to prioritize and expedite tasks as necessary to ensure the schedule requirements are fulfilled.
+ Schedules must be accurate and maintained from program inception through contract closeout.
+ Identifies and resolves critical path and network logic conflicts.
+ Utilizes Gantt, PERT, milestone charts, earned value management (EVMS) and other project management techniques to gauge progress and identify performance variances to focus on critical areas.
+ Coordinate with the Program Manager on financial reports, quarterly EAC's and all EVMS requirements.
**Program Level Required Skills:**
+ 7 years of work experience. Would consider a BA or BS degree with 3 years of work experience, MS with 1 years of experience.
+ 2-4 years of scheduling experience.
+ Excellent math and communication skills.
+ Must be proficient in Microsoft Office (Excel, Word, and PowerPoint).
+ Minor domestic travel required. Oversea travel opportunities may exist.
+ Must be a US Citizen
**Program Level Desired Skills:**
+ MBA preferred
+ Thorough working knowledge of precedence diagramming scheduling methods, critical path methods, and all aspects of network logic and diagraming
+ Thorough understanding of 14-point schedule health and strength assessment tools
+ Thorough understanding of EVMS
+ Capable of building an IMP/ IMS for large programs with complicated assemblies and multiple locations
+ Thorough understanding of Open Plan and Microsoft Project tools
+ Thorough understanding of the interface requirements between Open Plan and Cobra
+ Thorough understanding of Schedule Risk Analysis
**Principal Program Level** **Required Skills:**
+ 10 years of work experience. Would consider a BA or BS degree with 6 years of work experience, MS with 4 years of experience.
+ 2-4 years of scheduling experience.
+ Excellent math and communication skills.
+ Must be proficient in Microsoft Office (Excel, Word, and PowerPoint).
+ Minor domestic travel required. Oversea travel opportunities may exist.
+ Must be a US Citizen
**Principal Program Level Desired Skills:**
+ MBA preferred
+ Thorough working knowledge of precedence diagramming scheduling methods, critical path methods, and all aspects of network logic and diagraming
+ Thorough understanding of 14-point schedule health and strength assessment tools
+ Thorough understanding of EVMS
+ Capable of building an IMP/ IMS for large programs with complicated assemblies and multiple locations
+ Thorough understanding of Open Plan and Microsoft Project tools
+ Thorough understanding of the interface requirements between Open Plan and Cobra
+ Thorough understanding of Schedule Risk Analysis
**Salary Range:** $60,600 - $90,800
**Salary Range 2:** $74,600 - $111,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Mesa, AZR10134221ArizonaAZProgram or Principal Program Planning Scheduling Analyst (AHT)None6F0FC110D7C84E6786552778EBE9279Ahttp://northropgrumman-veterans.jobs/6F0FC110D7C84E6786552778EBE9279A23CommerceNorthrop GrummanUnited StatesUSA2024-03-27 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems (NGSP), Payload and Ground Systems Division has an opening for a Quality Engineering Manager 2 to join our team of qualified, diverse individuals. The selected candidate will manage the Quality Engineering team at our Commerce, CA facility.
**Overview**
Develops, modifies, applies and maintains quality evaluation and control systems and protocols for processing materials into partially finished or finished materials product. Collaborates with engineering and manufacturing functions to ensure quality standards are in place. Devises and implements methods and procedures for inspecting, testing and evaluating the precision and accuracy of products and production equipment. Designs and analyzes inspection and testing processes, mechanisms and equipment; conducts quality assurance tests; and performs statistical analysis to assess the cost of and determine the responsibility for, products or materials that do not meet required standards and specifications. Audits quality systems for deficiency identification and correction. Ensures that corrective measures meet acceptable reliability standards and that documentation is compliant with requirements. May specialize in the areas of design, incoming material, production control, product evaluation and reliability, inventory control and/or research and development as they apply to product or process quality. May be certified in lean and six-sigma quality engineering methodologies.
As a team leader, the manager will collaborate closely with Program Management, Supply Chain, Planning, Operations and Engineering to provide strategic leadership that ensures products comply with contractual and engineering requirements.
**Roles and Responsibilities:**
+ Provides leadership/mentorship for team members by promoting professional development, fostering a collaborative environment, and endorsing ethical conduct.
+ Encourages team members to connect their efforts to overall company goals by creating an inclusive work environment characterized by mutual respect and the valuing of differences.
+ Establishes strong business relationships with programs and cross-functional organizations.
+ Provides exceptional team leadership and serves as the quality assurance focal point
+ Engages team members and drives robust root cause corrective actions utilizing appropriate quality tools (5-Whys, fishbone, etc..)
+ Tracks and owns all metrics related to quality
+ Drives improvements within the business to reduce defects and streamlines quality processes.
+ Actively monitors nonconformance and corrective actions to ensure timely dispositions and corrective action responses.
+ Supports and prepares for customer and agency audits. Obtains and maintains necessary quality certifications.
+ Resources the quality team effectively to support customer and business demands
+ Provides input for monthly reporting as well as presents quality results to leadership.
**Basic Qualifications:**
+ Bachelor's Degree in Engineering
+ Minimum of 5 years' experience as a Quality Manager
+ Root cause and corrective action experience
+ Excellent interpersonal, communication, writing, presentation and critical thinking skills
+ Must have a proven track record of accomplishments with team development, or leadership
+ Working knowledge of ISO 9000 and AS9100 quality management systems
**Preferred Qualifications:**
+ Experience working with Government Agencies
+ Material Review Board experience
**Salary Range:** $118,600 - $178,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Commerce, CAR10141369CaliforniaCAQuality Engineering Manager 2None720583B854F34A7C858FD3B0CB48C89Chttp://northropgrumman-veterans.jobs/720583B854F34A7C858FD3B0CB48C89C23IukaNorthrop GrummanUnited StatesUSA2024-03-27 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Enjoy a diverse, collaborative environment with professionals across the nation ready to help launch your career.
Northrop Grumman Aeronautics Systems is looking for a **NDT Technician** for our Mission Assurance team in **Iuka, MS** .
Our employees are not only part of history, they're making history. Our NDT team works daily on some of Northrop Grumman's most technologically advanced aircraft and our site is uniquely suited for the development, prototyping, production and testing of military aircraft systems, both manned and unmanned. The highly specialized manufacturing and engineering facility is located on the Government owned/Contractor operated (GOCO) U.S. Air Force Plant 42. Northrop Grumman occupies about 75% of Plant 42's various sites. Every day employees can see their hard work take off and land on the flight test runway.
Bring your experience and take advantage of this opportunity to discover how you can start to push past possible and achieve your goals today. With Northrop Grumman, our NDT team enjoys a diverse, collaborative environment that is supportive to launch your career.
Responsibilities include:
+ Performs non-destructive tests (NDT) on aircraft engines or components.
+ Inspects metallic and nonmetallic materials, parts and assemblies for defects using automated and manual methods and techniques including radiography, ultrasonic, penetrant, eddy current, shearography, thermography and magnetic particle.
+ Performs NDT on various aircraft structures and components.
+ Inspect composite and metallic parts and assemblies for defects using automated and manual methods and techniques including: delete mag particle.
**B** **asic Qualifications Level 2:**
+ High School Diploma/GED and 2 years plus years of Non Destructive Test experience
+ Able to be subject to areas which could cause various phobias due to height or close spaces
+ Must be willing to work any shift, overtime or non-standard work schedule
+ This position requires the ability to obtain and maintain active DOD Secret Clearance and the ability to obtain Special Program Access within a reasonable period of time, as determined by the company to meet its business needs
+ Must be able to pass an Eye Exam prior to start.
**B** **asic Qualifications Level 3:**
+ High School Diploma/GED and 4 years of Non Destructive Test experience
+ Able to be subject to areas which could cause various phobias due to height or close spaces
+ Must be willing to work any shift, overtime or non-standard work schedule
+ This position requires the ability to obtain and maintain an active DOD Secret Clearance and the ability to obtain Special Program Access within a reasonable period of time, as determined by the company to meet its business needs
+ Must be able to pass an Eye Exam prior to start.
**Preferred Qualifications:**
+ Certification in Multiple Non Destructive Inspection (NDI) Disciplines
+ 2 Year degree/certification from NDI Training Institution
+ Mobile Automated Ultrasonic System experience
MPR
**Salary Range:** $42,400 - $56,500
**Salary Range 2:** $50,400 - $67,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Iuka, MSR10142498MississippiMSNon Destructive Test Technician (NDT) 2/3 Military & Veterans Encouraged to ApplyNone76876C1FCE3E485CA3899A53B8371DB4http://northropgrumman-veterans.jobs/76876C1FCE3E485CA3899A53B8371DB423BaltimoreNorthrop GrummanUnited StatesUSA2024-03-27 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We are looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions.
By joining in our shared mission, we 'II support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you 'II have the resources, support, and team to do some of the best work of your career.
Northrop Grumman's Mission Systems Sector is seeking a Principal Facilities Engineer located in **Baltimore, MD.**
**Roles and responsibilities:**
+ Plans, designs and oversees the construction of new or expanded facilities.
+ Prepares architectural and engineering cost estimates and schedules.
+ Obtains and supervises outside contractors.
+ Recommends architectural and engineering design procedures and standards for the planning and design of facilities.
+ Coordinates with architecture/engineering firms in developing design criteria and preparing layout and detail drawings.
+ Prepares bid sheets and contracts for construction and facilities acquisition. Reviews and estimates design costs including equipment, installation, labor, materials, preparation, and other related costs.
+ Supervises the detailed design, budgetary costing, standardized construction, and final inspection of facility projects.
+ Inspects or directs the inspection of construction and installation progress to ensure conformance to established drawings, specifications, and schedules.
**Basic Qualifications for Principal Facilities Engineer:**
+ 5 years with a BS in Engineering, Mechanical/ Electrical or related technical field; or 3 years with a MS; or 9 years of experience may be accepted in lieu of degree; experience to include facilities related experience.
+ Strong communication skills.
+ Prior facilities related or construction experience.
+ Ability to obtain and maintain a Secret clearance
**Preferred Qualifications** :
+ Experience with CAD.
+ Experience with SAP.
+ Active Secret level clearance.
+ Facilities Mechanical/ Electrical Experience
+ Facilities experience in the Defense sector along with understanding of security standard ICD 705.
**Salary Range:** $93,000 - $139,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10142683MarylandMDPrincipal Engineer FacilitiesNone77A268ABD62544DA9C36AD67AB22073Dhttp://northropgrumman-veterans.jobs/77A268ABD62544DA9C36AD67AB22073D23LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems (NGMS) **Advanced Capabilities division** is leading the development of the next generation of high-performance computing. Superconducting electronics forms the core of our technology, with a focus on energy-efficient computation using our patented superconducting digital logic technology, Reciprocal Quantum Logic (RQL). On a gate-for-gate basis, RQL consumes orders of magnitude less power than CMOS while running at significantly higher clock speeds.
The Advanced Capabilities division seeks experienced **RF Microwave Design Engineers** to develop these technologies into high-performance computing systems. You'll work in a fast-paced team environment alongside physicists, design engineers, and superconducting foundry engineers to make these technologies a reality.
**How you will contribute to the Mission:**
+ Lead and/or contribute directly, working on design and analysis of signal integrity and electromagnetic effects in highly complex high frequency, high dynamic range mixed signal systems in a very collaborative and innovative research and development environment
+ Perform analytical studies of electronic systems (integrated circuits, chip-level packaging, interposers, printed circuit boards, connectors, etc.) to support design tradeoffs
+ Design and analysis activities include electromagnetic modeling (e.g. SSN, ISI, crosstalk, EMC/EMI) for packaging parasitic estimation, associated interconnect, PCBA and filters. All design, testing and verification efforts ensure simulated and measured results meet desired design targets, and continually improve simulation-measurement correlation
+ Develop new test and simulation methods as necessary to support the above activities when demands exceed the state of the art
+ Create new design strategies where possible to support functional requirements while meeting SI, PI and EM goals
+ Deep understanding of RF/EMC measurement and analysis (s-parameters, eigenmodes, TDR, FFT, IF, etc.)
+ Work closely with other domain experts performing related functional analyses (e.g. Mechanical design & analysis, circuit design & test, thermal analysis, etc.)
+ Willingness to continually expand the knowledge base of yourself and the team (e.g. examine new research, relevant papers, seek applicable methodologies in adjacent applications, etc.)
+ Coordinate related technical team activities
+ Present details of work to colleagues during design reviews
+ Create and manage work plans to meet or exceed schedule deadlines
+ Provide written and oral summaries of work performed as needed (e.g. for reports)
**This position will serve on-site in Linthicum, MD or Annapolis Junction, MD.**
**This position will be filled at the Principal or Sr. Principal RF Microwave Design Engineer level based on the qualifications below:**
**Basic Qualifications for the Principal RF Microwave Design Engineer - Mixed Signal Packaging, EMC Analysis & Design:**
+ Bachelors degree in a STEM related field with 5 or more years' of relevant experience required. Masters degree and 3 years of relevant experience
+ Working knowledge of CAD/simulation tools (e.g. HFSS, CST Studio, Sonnet, ADS, HSPICE, SI-Wave, Sigrity) in support of design and analysis
+ Experience with high frequency measurement techniques in frequency and time domains for characterizing various interconnect features such as insertion loss, return loss, impedance, and crosstalk.
+ Understanding of electromagnetic theory, transmission line theory, network analysis, and high-speed measurement, calibration, and de-embedding techniques.
+ Knowledge of fundamental electrical engineering and circuit design principles.
+ Use of Microsoft Office tools (Word, Excel, PowerPoint) and proficiency in writing for reporting.
+ Ability to obtain and maintain a U.S. TS/SCI with Poly Security Clearance for which U.S. Citizenship is a requirement
**Basic Qualifications for the Sr. Principal RF Microwave Design Engineer - Mixed Signal Packaging, EMC Analysis & Design:**
+ Bachelors degree in a STEM related field with 9 or more years' of relevant experience required. Masters degree and 7 years of relevant experience
+ Working knowledge of CAD/simulation tools (e.g. HFSS, CST Studio, Sonnet, ADS, HSPICE, SI-Wave, Sigrity) in support of design and analysis
+ Experience with high frequency measurement techniques in frequency and time domains for characterizing various interconnect features such as insertion loss, return loss, impedance, and crosstalk.
+ Understanding of electromagnetic theory, transmission line theory, network analysis, and high-speed measurement, calibration, and de-embedding techniques.
+ Knowledge of fundamental electrical engineering and circuit design principles.
+ Use of Microsoft Office tools (Word, Excel, PowerPoint) and proficiency in writing for reporting.
+ Ability to obtain and maintain a U.S. TS/SCI with Poly Security Clearance for which U.S. Citizenship is a requirement
**Preferred Qualifications:**
+ Ansys HFSS modeling for RF packaging effects
+ Experience with spectrum and network analyzer techniques for EMC measurements
+ Familiarity with packaging technology (e.g. ceramic and laminate chip-level packages, printed circuit boards, interposers, wire bonding, etc.)
+ Familiarity with cryogenic test stands, superconductivity, mechanical CTE
+ Active U.S. TS/SCI with Poly Security Clearance for which U.S. Citizenship is a requirement
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10138705MarylandMDPrincipal / Sr. Principal RF Design Engineer - Mixed Signal Packaging EMC Analysis & DesignNone98B0EE9929AC4EB799A98F33017FD9C6http://northropgrumman-veterans.jobs/98B0EE9929AC4EB799A98F33017FD9C623HuntsvilleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Northrop Grumman Defense System Sector (NGDS) is seeking a **Principal** **Property/Asset Management Analyst** **(Level 3)** and **Sr.** **Principal** **Property/Asset Management Analyst (Level 4)** to join our team of qualified, diverse individuals. **This position will be on-site in Huntsville, AL** .
**Roles and Responsibilities include, but are not limited to:**
+ Oversee, manage, and analyze activities associated with Property/Asset Management business systems to ensure US Government, Customer and Company property located at Northrop Grumman and its suppliers are managed in accordance with applicable regulations and policies to achieve best value and operational excellence
+ Conduct internal and external property assessments and analyze results for financial and operational purposes; provide guidance to Contracts and Programs regarding Property lifecycle management and government property contractual requirements
+ Able to interact and effectively communicate with Government counterparts and upper management
+ Perform contractor self-assessment audits in accordance with Northrop Grumman processes and procedures
+ Coordinate with multiple disciplines to ensure processes are performed and documentation is maintained as required by NGDS Procedures and USG FAR regulations
+ Ability to compute, analyze, and interpret data for reporting purposes
+ Maintains property accountability records and determines condition and arranges disposal of surplus or obsolete material or equipment
**This requisition may be filled at a higher grade based on qualifications listed below.**
**Principal** **Property/Asset Management Analyst Basic Qualifications (Level 3):**
+ This position emphasizes skills and experience. Will consider high school diploma or equivalent (GED) with at least 10 years of experience and knowledge of progressive experience in Government Property & Asset Management. Bachelor's degree with 6 years or Master's degree with 4 years of experience and knowledge of progressive experience in Government Property & Asset Management
+ Active DoD Secret clearance required to start
+ Knowledge of Government Property related contractual requirements, including FARS/DFARS property management clauses
+ Proficient with Microsoft Office including PowerPoint and Excel formulas, charts, and pivot tables
+ Ability to lift equipment weighing up to 40 lbs
+ Ability to use forklifts and other material handling devices
+ Have US drivers license and ability to drive a company box truck for program support
+ Detail-oriented and strong organizational skills
+ Ability to work with diverse levels of internal/external customers, i.e., DCMA, DOD customers
**Senior** **Principal** **Property/Asset Management Analyst** **Basic Qualifications (Level 4):**
+ This position emphasizes skills and experience. Will consider high school diploma or equivalent (GED) with at least 14 years of experience and knowledge of progressive experience in Government Property & Asset Management. Bachelor's degree with 10 years or Master's degree with 8 years of experience and knowledge of progressive experience in Government Property & Asset Management
+ Active DoD Secret clearance required to start
+ Knowledge of Government Property related contractual requirements, including FARS/DFARS property management clauses
+ Proficient with Microsoft Office including PowerPoint and Excel formulas, charts, and pivot tables
+ Ability to lift equipment weighing up to 40 lbs
+ Ability to use forklifts and other material handling devices
+ Have US drivers license and ability to drive a company box truck for program support
+ Detail-oriented and strong organizational skills
+ Ability to work with diverse levels of internal/external customers, i.e., DCMA, DOD customers
**Preferred Qualifications:**
+ Knowledge of Government Property related contractual requirements, including FARS. Part 45, FAR 52.245-1, and DFARS property management clauses
+ NPMA Certified Professional Property Specialist or Administrator (CCPS, CPPA) certification.
+ Experience in developing and implementing processes and procedures in accordance with leadership strategy.
+ Property Management experience supporting with in an manufacturing facility and closed classified environments.
+ Experience supporting and/or performing compliance audits including Property Management System Audits (PMSA)
+ Experience with industry leading practices, techniques, and standards as they pertain to property and asset management.
+ Knowledge or understanding of MMAS, ISO/AS9100, and SOX standards that impacts asset management.
+ Familiarity with SAP (Systems, Applications, and Products).
**Salary Range:** $77,000 - $115,400
**Salary Range 2:** $95,400 - $143,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Huntsville, ALR10145140AlabamaALPrinc Property/Asset Mgt Analyst/Sr. Princ Property/Asset Mgt AnalystNone9B738A57FC2C4534AE7DC06B83E3CB7Fhttp://northropgrumman-veterans.jobs/9B738A57FC2C4534AE7DC06B83E3CB7F23LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems (NGMS) Advanced Capabilities division is leading the development of the next generation of high-performance computing. Superconducting electronics forms the core of our technology, with a focus on energy-efficient computation using our patented superconducting digital logic technology, Reciprocal Quantum Logic (RQL). On a gate-for-gate basis, RQL consumes orders of magnitude less power than CMOS while running at significantly higher clock speeds.
The Advanced Capabilities division is seeking experienced RF Engineers to join our team and develop these technologies into high-performance computing systems. You'll work in a fast-paced team environment alongside physicists, design engineers, and superconducting foundry engineers to make these technologies a reality.
**How you will contribute to the Mission:**
+ Designing, modeling, and verifying RF, microwave, and mixed signal subsystem assemblies
+ Support verification efforts for RF, Analog, and Digital hardware designs
+ Collaborating with peer functions such as Mechanical, Manufacturing, Test, and IC design Engineers
+ Deriving and trading requirements with subsystem engineers and component level designers
+ Working directly with component level design peers developing custom RF integrated circuit designs to meet assembly-level requirements
This position will serve on-site in Linthicum, MD or Annapolis Junction, MD.
This position will be filled at the Staff RF Engineer level based on the qualification below.
**Basic Qualifications for the RF Engineer Level:**
+ Bachelor's degree in Electrical Engineering or related STEM degree and 14 years of relevant work experience required. Master's degree and 12 years of experience
+ Working knowledge of an EM simulation tool (HFSS, CST Studio, Sonnet, ADS, HSPICE, SI-Wave, Sigrity)
+ Experience working with software programing languages
+ Prior experience working with electronics, mechanical requirements, and schematic drawings
+ Hands on experience utilizing test instrumentation such and power supplies, network analyzers, Oscilloscopes, spectrum analyzers, High speed digital IO
+ Ability to obtain and maintain a U.S. Top Secret/SCI with Poly Security Clearance for which US Citizenship is a requirement
**Preferred Qualifications:**
+ Well versed in working with Ansys HFSS modeling for RF packaging effects
+ Experience with spectrum and network analyzer techniques for EMC measurements
+ Prior experience with packaging technologies ( e.g. ceramic and laminate chip-level packages, printed circuit boards, interposers, wire bonding, etc.)
+ Experience with Cryogenic test stands, superconductivity and mechanical CTE
+ Active U.S. Top Secret/SCI with Poly highly preferred
**Salary Range:** $157,500 - $236,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10137517MarylandMDStaff RF EngineerNone9FF420882F7F450AB237C2A092E0A12Fhttp://northropgrumman-veterans.jobs/9FF420882F7F450AB237C2A092E0A12F23CorinneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Space Systems - Propulsion Division is currently seeking an experienced **Sr.** **Principal** **Propulsion Design Engineer** to provide leadership and talent to our **Rocket Motor Assembly Design & Analysis** team at our **Promontory,** **Utah** (Northern Utah) office.
**Role description & Responsibilities:**
The **Senior** **Design Engineer** is responsible for providing Integration Design Engineering over Rocket Motor Assembly, Igniter Design Leadership, Raceway Design, Thrust Vector Control Integration, Secondary Structures, and Mass Properties. The senior design engineer will also provide design engineering services on commercial rocket motor assembly projects, perform systems engineering tasks related to interface control on piece part designs, lead multi-discipline design teams, and perform peer reviews. Perform vehicle level integration tasks on pyro and range safety system, avionics integration, electrical cables and connectors, bonded attachments, and bolted designs.
**Job Duties:**
+ Prepare 3D NX models, mechanical & igniter assembly drawings, and specifications in accordance with company standards
+ Support mechanical & integration design on Commercial and IR&D Projects
+ Prepare test & instrumentation drawings, test plans, reports, and perform tolerance analysis
+ Prepare trades studies and milestone review presentations
+ Prepare ICD, N2 Interaction matrices and Interface Summary Sheets
+ Prepare requirement specifications and provide verification reporting
+ Perform structural analysis on secondary structures bracket designs
+ Perform joints and seals assessment
**Experience Requirements:**
+ Candidate must have a minimum of 9 years of engineering design experience with BS or 7 years with MS.
**Experience Preferred** **:**
+ Knowledgeable and demonstrated experience with NX modeling
+ Systems Engineering and Integration
+ Metallic Structural Analysis
+ Composite Design and Fabrication
+ Machining, Mechanical Design, and Assembly
+ Ignition, Ordnance, and Igniter Design
**Skills & Qualifications:**
+ Solid modeling experience (NX is preferred)
+ Broad understanding of ballistics and grain design
+ Strong knowledge of loads and environment analysis
+ Structural Analysis experience
+ Experience with manufacturing processes
+ Excellent written and oral communication skills
+ Drafting and drawing preparation skills
+ Excellent time management and organizational skills
+ Detail oriented but able to understand the big picture
**Education/Certifications**
+ Minimum of a bachelor's degree in engineering ( aerospace or mechanical) required with 9 years of experience or MS with 7 years of experience. Mechanical Engineering degree is preferred (BSME), MSME ideal.
**Salary Range:** $114,900 - $172,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Corinne, UTR10144677UtahUTSr. Principal Propulsion Design EngineerNoneBB7E2A9359C346AEB4C1E2DF1BF88F7Chttp://northropgrumman-veterans.jobs/BB7E2A9359C346AEB4C1E2DF1BF88F7C23Saint CharlesNorthrop GrummanUnited StatesUSA2024-03-27 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
An organization within Northrop Grumman's Payload and Ground Systems (PGS) division, Cutting Edge Optronics is a leading provider of high-power laser diode arrays, high power pump modules and diode-pumped solid-state (DPSS) lasers. We are a vertically integrated manufacturer capable of supplying unmounted diode bars, packaged laser diodes, DPSS modules, laser diode drivers and complete DPSS laser systems. Our diode laser-based products have become industry standards and are used in a wide variety of commercial and military applications.
Learn more about Cutting Edge Optronics at our website: https://www.northropgrumman.com/who-we-are/cutting-edge-optronics/ .
Job Duties:
+ Develop new manufacturing processes associated with the packaging/soldering of semiconductor laser diodes.
+ Responsible for laser diode array packaging and testing processes.
+ Assist with the automation of packaging, testing, and inspection operations.
+ Support existing manufacturing processes through hands-on interaction with operators, monitoring of yield and quality metrics, and other continuous improvement activities.
+ Interface with material suppliers and supervise the fabrication of prototypes.
Basic Qualifications:
+ Bachelor's degree in engineering, Physics, or Material Science is required.
+ General understanding of manufacturing processes.
+ Familiarity with Design of Experiments methodologies.
+ 2 years plus experience with semiconductor packaging, specifically eutectic solder attach, reflow ovens, and automated bonders is preferred.
+ 2 years or more of hands-on laboratory experience.
**Salary Range:** $72,100 - $108,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Saint Charles, MOR10146789MissouriMOProcess Engineer (Laser Diode Packaging)NoneE9862D0CC49B4B7294B3D90B4C967EE2http://northropgrumman-veterans.jobs/E9862D0CC49B4B7294B3D90B4C967EE223Unknown CityNorthrop GrummanUnited StatesUSA2024-03-27 06:15:44At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**What you will do:**
+ Responsible for Supplier Quality risk management.
+ Develops and implements supplier quality evaluation and control systems.
+ Assures supplier process and product quality, including contractual, regulatory, and technical compliance.
+ Interfaces directly with supplier personnel and must be knowledgeable in and capable of assessing both supplier quality and manufacturing processes and procedures.
+ Conducts product and process verification activities, monitors supplier quality performance, and drives root cause corrective action analysis for supplier quality issues.
+ Manages supplier requests for waivers, deviations, and discrepancy reporting process.
+ Keeps management apprised of supplier performance, quality status, and risks.
+ Coordinates and oversees Supplier Quality plan implementation through all program phases.
+ Actively engages in supplier evaluation, qualification, and selection as part of the Business Acquisition Process (BAP) and as new procurement are required on existing programs.
+ Manages implementation of Supplier Quality processes, including supplier approval activities, source selection evaluations, supplier classification designation (Critical, Key and Standard), development and execution of supplier quality risk assessments and mitigation plans, and supplier quality requirements development and flow-downs.
**This position is based out of Maryland, and requires local travel**
**Basic Qualifications**
+ BS degree in STEM related field 5+ years of relevant experience; MS Degree 3+ years of relevant experience.
+ Experience with conducting product/ process validation in accordance with AS9102 (First Article Inspection).
+ Experience with AS9100/Equivalent (standardized quality management system for the aerospace industry).
+ Experience with Root Cause and corrective action using industry Problem-Solving tools such as but not limited to Cause-and-Effect diagram, P/FMEA, etc.,
+ Experience working with mechanical design requirements and specifications.
+ Experience in leading audits, New Product Introduction (NPI) and or Production Readiness Reviews.
+ General experience with special processes such as heat treat, brazing, welding, plating, etc.
+ Proficient at planning /organization, and decision-making skills.
+ US citizenship required.
**Preferred Qualifications:**
+ Degree in Mechanical, Electrical, or related engineering
+ Ability to effectively lead supplier problem solving activities utilizing tools such as FMEA, SIPOC to evaluate the manufacturing processes.
+ Experience in implementing APQP and PPAP processes.
+ Experience with manufacturing processes including machining, forging, heat treating, welding and/or brazing.
+ Experience in planning and organizing activities across multiple manufacturing locations.
+ Experience with additive manufacturing.
+ Extensive experience with leading process improvement projects
+ Ability to obtain and maintain a DoD Government Security Clearance
+ Ability and willingness to travel up to 75% domestically and internationally.
**Salary Range:** $88,600 - $133,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Unknown City, MDR10154357MarylandMDPrincipal Engineer Supplier QualityNoneFDF6824013034BD8B60FAD161F4E6803http://northropgrumman-veterans.jobs/FDF6824013034BD8B60FAD161F4E680323Colorado SpringsNorthrop GrummanUnited StatesUSA2024-03-27 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space work connects and protects millions of people on earth every day, now and for the future. Explore your future and launch your career today.
The **Payload and Ground Systems** organization within the **Northrop Grumman Space Systems** pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
**Northrop Grumman Space Systems** is seeking an experienced **Spacecraft Ops Technician** to join our team of qualified, diverse individuals. This position will be located on-site in a government customer facility at **Schriever SFB, CO.** Remote work is not available. All work must be completed on-site. This position will support the NRO Operations Squadron (NOPS) Operations and Launch Support (NOALS) program as a Spacecraft Ops Technician integrating new and existing satellite customers into various ground systems.
**Duties/Responsibilities:**
+ Test and perform and on-console activities involving satellite operations during launch and early orbit support or long-term operations.
+ Plan, set up and configure ground communication support, develop validate and execute pass plan, monitor and review telemetry, advises leads and/or management of failures and degradation, and advise leads or management of predefined recovery procedures.
+ Establish voice, messaging, and data communications for multiple- satellite programs of varying priorities and classifications.
+ Configurations and monitoring of displays for computer and network equipment during normal mission operations.
+ Troubleshoot end-to-end communication issues.
+ Multi-program coordination and scheduling using COTS software tools.
+ Determination of any anomalous conditions and providing corrective actions as required.
+ Generation of downtime reports and other associated documentation for operational outages.
+ Support to various operations status, engineering review and problem boards.
+ Identification of areas of concern, issues, inefficiencies, and other non-value added activities, as well as proven recommended solutions.
\#COSpace
These positions can be filled at a level 2 or 3, based on the basic qualifications below.
**Basic Qualifications:**
+ Active TS/SCI with Polygraph is required to start.
+ **Level 2:** High School diploma or equivalent and 2 years of experience; associate's degree and 1 year of experience; or additional education and/or related experience in direct flight operations, ground control operations, or ground processing operations.
+ **Level 3:** High School diploma or equivalent and 4 years; associate's degree and 2 years of experience; or additional education and/or related experience in direct flight operations, ground control operations, or ground processing operations.
+ Experience conducting satellite operations with the Satellite Control Network in a real-time operations environment.
+ Experience monitoring the activities of satellites.
+ Familiarity with satellite ground systems, including hardware, software, and communications architecture.
+ Strong troubleshooting and problem solving skills, demonstrated by frequent and successful application of technical standards, theories, concepts and techniques.
+ Familiar with UNIX and Windows-based computer systems.
+ Willingness and ability to work multiple shifts, including nights, weekends, and holidays, as well as overtime.
+ Ability to create and follow standard processes and clearly document results.
+ Able to distinguish the difference between colors on a computer monitor. (specifically red, blue, and green).
**Preferred Qualifications:**
+ Proven teamwork and interpersonal skills.
+ Ability to interface effectively with users, customers, management and engineering personnel.
+ Good verbal and written communication skills.
+ Be comfortable using intercom systems, in addition to phone systems, as a frequent medium for communications.
**Salary Range:** $51,400 - $85,600
**Salary Range 2:** $61,100 - $101,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Colorado Springs, COR10117434ColoradoCOSpacecraft Operations Technician / Principal Spacecraft Operations Technician (TS/SCI & Polygraph) - Schriever Space Force BaseNone004299E55A3049DB9C98F0293546DF16http://northropgrumman-veterans.jobs/004299E55A3049DB9C98F0293546DF1623Fort LeavenworthNorthrop GrummanUnited StatesUSA2024-03-27 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems sector is seeking a **Principal Military Trainer -Command and Control** to join our growing team of diverse professionals at Fort Leavenworth. Kansas
**Responsibilities:**
+ Subject matter expert within a team of contractors supporting MCTP, Operations Group A.
+ Supports the After-Action Review (AAR) process during Warfighter Exercises (WFX) by working with military Observer Coach/Trainers (OC/T) to develop AAR products.
+ Pulls data from the WFX simulation on training units either as a direct request, or in anticipation of need, from an OC/T or their contractor Team Lead.
+ Travel to approximately five WFX or ASCC (Army Service Component Command) exercises per year with each one having a duration of approximately 2-3 weeks.
+ If selected for Advance Party duties, duration may be four or more weeks. Between exercises the individual may assist with the preparation and execution of Mission Command Training (MCT) events, the preparation of Simulation Control Plans (SCP), attend planning meetings for the WFX Event Life Cycle (ELC) and other tasks as assigned.
+ After attaining some experience, you may assist with certification training for new personnel in his/her assigned WfF.
+ Research, prepare, and conduct Professional Development classes for the team.
+ Individual may be tasked with other assigned duties
**Basic Qualifications:**
+ Bachelor's degree with a minimum of 6 years of professional leadership experience or a Master's degree with a minimum of 4 years of professional leadership experience
+ Field Grade Officer or equivalent Warrant Officer.
+ Minimum Military Education Level (MEL) 4 CGSC or Equivalent.
+ Graduate of a related Military Advanced Course.
+ Must have ability to obtain a SECRET security clearance.
+ Familiarity with current Army and Joint Doctrine.
+ Be physically capable of air travel to both CONUS and OCONUS military installations and perform duty for up to twelve hours for shifts during WFX or other exercises.
+ Be familiar with Microsoft Office products, especially PowerPoint and Excel.
**Preferred Qualifications:**
+ Brigade or higher staff officer experience.
+ Current, active SECRET or higher clearance.
+ Experience as an OC/T, especially in M2C2 or Field Artillery.
+ Background in Armor, Infantry or Field Artillery assignments/ operations
**Salary Range:** $69,600 - $104,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fort Leavenworth, KSR10144499KansasKSPrincipal Military Trainer M2C2None09D2F7BF27F34E23A8AA5C98308ECB5Bhttp://northropgrumman-veterans.jobs/09D2F7BF27F34E23A8AA5C98308ECB5B23San AntonioNorthrop GrummanUnited StatesUSA2024-03-27 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
This position description does not represent a current opening but may be used to identify candidates with skills and experience for positions within Northrop Grumman that frequently become available. Candidates who express an interest may be considered for future positions at Northrop Grumman.
Our Engineering and Sciences (E&S) organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
Northrop Grumman Mission Systems is seeking a **Principal Software Engineer or Sr. Principal Software Engineer: Vulnerability Research - Reverse Engineering** to join our team in San Antonio, Texas, where you'll get to lead the development of solutions to national security threats with products that may involve kernel development, reverse engineering or vulnerability research of network and communication systems.
**Essential Functions:**
+ Designs, develops, documents, tests and debugs low level software and systems that contain logical solutions.
+ Conducts multidisciplinary research and collaborates with equipment designers and/or hardware engineers in the planning, design, development, and utilization of electronic data processing systems for product and commercial software.
+ Determines computer user needs; analyzes system capabilities to resolve problems on program intent, output requirements, input data acquisition, programming techniques and controls; prepares operating instructions; designs and develops compilers and assemblers, utility programs, and operating systems.
+ Ensures software standards are met.
This requisition may be filled as a **Principal Software Engineer** or a **Senior** **Principal Software Engineer** .
**Basic Qualifications for Principal Software Engineer: Vulnerability Research - Reverse Engineering**
+ BS degree in STEM discipline such as Computer Science, Computer Engineering, Math, Physics, or Electrical Engineering and 5 years of software engineering experience; MS degree with 3 years of experience; or an additional 4 years of experience may be substituted in lieu of a degree.
+ US Citizenship with active DoD Secret clearance and ability to obtain and maintain a Top-Secret clearance.
+ Experience in reverse engineering or vulnerability analysis.
+ Demonstrated software programming experience in C/C++.
+ Familiarity with disassemblers such as IDA Pro, BinaryNinja, or others.
**Basic Qualifications for Sr. Principal Software Engineer: Vulnerability Research - Reverse Engineering**
+ BS degree in STEM discipline such as Computer Science, Computer Engineering, Math, Physics, or Electrical Engineering and 9 years of software engineering experience; MS degree with 7 years of experience; PhD with 3 years of experience; or an additional 4 years of experience may be substituted in lieu of a degree.
+ US Citizenship with active DoD Secret clearance and ability to obtain and maintain a Top-Secret clearance.
+ Experience in reverse engineering or vulnerability analysis.
+ Demonstrated software programming experience in C/C++.
+ Familiarity with disassemblers such as IDA Pro, BinaryNinja, or others.
**Preferred Qualifications:**
+ Understanding of secure / insecure programming concepts (format string vulnerabilities, heap overflows, etc.).
This position description does not represent a current opening but may be used to identify candidates with skills and experience for positions within Northrop Grumman that frequently become available. Candidates who express an interest may be considered for future positions at Northrop Grumman.
**Salary Range:** $104,700 - $157,100
**Salary Range 2:** $129,800 - $194,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Antonio, TXR10126881TexasTXPrincipal Software Engineer or Sr. Principal Software Engineer: Vulnerability Research - Reverse EngineeringNone0A407F58EF5742239B820C563B77748Dhttp://northropgrumman-veterans.jobs/0A407F58EF5742239B820C563B77748D23Annapolis JunctionNorthrop GrummanUnited StatesUSA2024-03-27 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is hiring! Earn up to a $20,000 sign on bonus if you are hired against specific TS/SCI Polygraph positions.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators, who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we will support yours of expanding your personal network and developing skills, whether you are new to the field or an industry thought-leader. At Northrop Grumman, you will have the resources, support, and team to do some of the best work of your career.
Description:
Designs, develops, documents, tests and debugs applications software and systems that contain logical and mathematical solutions. Conducts multidisciplinary research and collaborates with equipment designers and/or hardware engineers in the planning, design, development, and utilization of electronic data processing systems for product and commercial software. Determines computer user needs; analyzes system capabilities to resolve problems on program intent, output requirements, input data acquisition, programming techniques and controls; prepares operating instructions; designs and develops compilers and assemblers, utility programs, and operating systems. Ensures software standards are met.
Basic Qualifications:
-A current and active TS/SCI Clearance with Polygraph through the MD customer is required.
-Understanding on how to design, develop, document, test and debug applications software and systems that contain logical and mathematical solutions.
-A Bachelor's Degree in an applicable field is required with 7+ years of software engineering experience
-Four (4) years of Software Development experience can be substituted for a Bachelor's Degree.
Preferred Qualifications:
-Experience in Java programming language is preferred.
-An ability to work in a team environment and individually is preferred.
-An ability to determine computer user needs and analyze system capabilities to resolve problems
**Salary Range:** $118,000 - $177,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Annapolis Junction, MDR10137960MarylandMDPrincipal Cyber Software Engineering Tester (SB)None16C43DF9858E46EE8C0AA176F883A5D9http://northropgrumman-veterans.jobs/16C43DF9858E46EE8C0AA176F883A5D923Annapolis JunctionNorthrop GrummanUnited StatesUSA2024-03-27 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is hiring! Earn up to a $20,000 sign on bonus if you are hired against specific TS/SCI Polygraph positions.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators, who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we will support yours of expanding your personal network and developing skills, whether you are new to the field or an industry thought-leader. At Northrop Grumman, you will have the resources, support, and team to do some of the best work of your career.
The Sensing & Secured Solutions Teams are hiring! We are seeking an experienced Sr. Java Developer to work as a member of an Agile team developing a high-visibility, mission critical component of a large system. The new team member will be working with DevOps, containerization, deployment to and operation on Linux, API development, requirements development, and model-based systems engineering.
The Sr. Java Developer will develop, maintain, and enhance complex and diverse software systems (e.g. processing-intensive analytics, novel algorithm development, manipulation of extremely large data sets, real-time systems, and business management information systems) based upon documented requirements. This person will be responsible for working individually or as part of a team to review and test software components for adherence to the design requirements and documents test results. The team is seeking a talented engineer who resolves software problem reports, utilizes software development and software design methodologies appropriate to the development and software design methodologies appropriate to the development environment. The successful candidate shall have experience with creating specific input to the software components of system design to include hardware/software tradeoffs, software reuse, use of Commercial Off-the-Shelf (COTS)/ Government Off-the Shelf (GOTS) in place of new development, and requirements analysis and synthesis from system level to individual software components.
Basic Qualifications:
- 14 years of experience as a Software Engineer in programs and contracts of similar scope, type, and complexity with a bachelor's degree in Computer Science or related discipline from an accredited college or university. - 18 years of experience as a SWE in programs and contracts of similar scope, type, and complexity with no degree can also be accepted.
- A current and active TS/SCI Clearance with Polygraph is required.
- An ability to develop, maintain, and enhance complex and diverse software systems.
- This position requires past experience in Java Software Development
Preferred Qualifications:
- An ability to analyze user requirements to derive software design and performance requirements
- An ability to design and code new software or modify existing software to add new features
- Experience with debugging existing software and correcting defects
- An ability to integrate existing software into new or modified systems or operating environments
- Experience with developing simple data queries for existing or proposed databases or data repositories
- Assisting with developing and executing test procedures for software components
MSAJJB
**Salary Range:** $181,400 - $272,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Annapolis Junction, MDR10080967MarylandMDStaff Java Software Engineer (SWE2) **Up to 20k sign-on bonus**None2DFD1F37DA1941C1AB3B9ED44D41A0AFhttp://northropgrumman-veterans.jobs/2DFD1F37DA1941C1AB3B9ED44D41A0AF23ChandlerNorthrop GrummanUnited StatesUSA2024-03-27 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Documentation/Data Management Manager will be expected to work on direct contributions to programs, while executing the leadership and management responsibilities of the position. The position reports directly to the Senior CDM Manager and will work in close collaboration with all programs.
The position carries the following set of responsibilities:
+ Provide overall leadership and supervision of day-to-day data management activities and work products.
+ Architect and transform current business practices to align with industry standard best practices.
+ Support the updates to related command media operating standards.
+ Set role and performance expectations, provide feedback and coaching, and growth opportunities for the DM team.
+ Ensure the right personnel, processes, and tools are in place to meet the business and project needs.
+ Provide input and help manage department budget expenses.
+ Support customer and internal audits of our standards to maintain certifications and secure new contracts.
+ Support Northrop Grumman initiatives and lead continuous LVD improvement activities within the CDM department.
+ Assesses how proposed data management changes may impact systems sustainment and supportability.
+ Drive cooperation within the CDM team to establish a cohesive culture of configuration data management excellence.
+ Manage the critical skills required to perform the job and provide training for the users to ensure CDM standards are understood.
+ Responsible for performance management of the data management team.
+ Monitors and audits data submittals to ensure compliance and consistency throughout the department.
+ Monitors incoming and outgoing document changes to assure requirements are met and documents are processed in a timely manner.
+ Manage the system for document control to ensure consistency in format and content.
+ Organize the routing of documents throughout the process from design, approvals, distribution, through to filing.
+ Basic Qualifications:
+ Bachelors degree with 9 years relevant experience preferred.
+ Experience in lieu of degree will be accepted
+ **Secret clearance**
**Salary Range:** $125,700 - $188,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Chandler, AZR10103341ArizonaAZManager Configuration Analysis 3None2FA45F0E966F46FAA0B0F89055D3006Dhttp://northropgrumman-veterans.jobs/2FA45F0E966F46FAA0B0F89055D3006D23MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Office of the CIO is looking for a dynamic, innovative CIO Program Manager 3 in the Aeronautics Systems Sector IT PMO. This motivated individual will provide overall technology thought leadership, strategy and IT management for multi program portfolio located in Melbourne, FL while serving as a customer liaison between the different functional areas, the programs and CIO IT organization.
Responsibilities will include, but are not limited to:
• IT strategy and operational activities including service delivery, technical requirements gathering, infrastructure solutions and project execution.
• Liaison between Facilities and Security when necessary, to drive optimal IT service environment at the Melbourne site.
• Maximize alignment of program IT services with the Aeronautics\CIO sector operating models, strategies, business objectives and investments.
• Coordinate with division business leadership, homeroom managers, and end user groups to identify, plan, implement, and sustain IT solutions to meet sector, division and program strategic and tactical objectives.
• Act as the CIO ambassador to programs and business leadership, functional organizations, and end-customers (users).
• Monitor customer satisfaction with IT service delivery and engage CIO resources to assist as required to meet and exceed IT service level objectives for the site.
• Identify systemic technical and service delivery challenges affecting the customer and then coordinate solutions with Office of the CIO functional representatives; engage constructively to identify solutions.
• Develop and present IT budgets in support of non-recurring projects, recurring sustainment and LRSP IT planning; provide monthly financial analysis and reporting to AS IT program management and AS IT leadership team.
• Leadership, peer engagement, and highly effective collaboration across the Melbourne site supporting both localized AS sector and Office of the CIO IT enterprise initiatives and projects
**Basic Qualifications**
**To be considered for this position, you must minimally meet the knowledge, skills, and abilities listed below:**
**•** Bachelor's degree and 14 years of IT experience; or a Master's degree and 12 years of IT experience
• Must have at least 5 years of experience as a Project Manager with knowledge in cost, budgets, and schedules
• Must have a minimum of 5 years of experience using the following MS Office Suite tools: Word, Project, PowerPoint, Outlook, and Excel
• Experience providing strategic direction regarding IT products, processes, applications, and/or technologies is required
• Must have familiarity with technical solutions, infrastructure implementations, process/project documentation, and/or liaison interfacings for large enterprise organizations
• Experience in briefing and interfacing with executive leadership (i.e., President, Vice President and/or Director level) is required
• Candidates must have a current DOD **Secret** level security clearance (or higher) in order to be considered. Candidates must have the ability to obtain, and maintain a Top Secret level clearance as well as access to **Special Programs** as a condition of continued employment.
**Preferred Qualifications**
Candidates with these desired skills will be given preferential consideration:
**•** Bachelor's degree, or a Master's Degree, in Engineering Management, Information Systems, Systems Engineering, or Network Engineering (or similar STEM related discipline) is preferred.
• Previous Office of the CIO Program Manager experience.
• Familiarity with Northrop Grumman Aeronautics Systems business, government customers, and programs is a plus.
• Broad background in IT Infrastructure, Business Applications, and IT operations
• Ability to perform risk & opportunity analysis, and prepare detail cost and technical proposals would be ideal.
• Customer-focused with proven leadership and excellent communication skills and with ability to drive cross functional teams to action when working in a matrix organization.
• Self-starter who works quickly and effectively, can get up to speed with program processes quickly and enjoys a fast paced, dynamic environment.
• Entrepreneurial spirit, and ability to challenge the status quo while providing innovative solutions to complex IT requirements Program Management or lead role supporting customer facing information technology business.
• Program/customer communication and collaboration, energy, self-motivation, and innovative ideas in support of goals and objectives.
• IT governance, processes, and automation to provide visibility into the implementation of the Enterprise systems, including ability to assess status, progress, and identify conflicts, issues and conflict resolution, as well as schedule/project prioritization.
+ Current DOD Top Secret Clearance
**Salary Range:** $141,900 - $212,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10154326FloridaFLManager--ES Info Tech Program Manager Secret Clearance RequiredNone3846C4AD4B3B4A728D756B1B74429146http://northropgrumman-veterans.jobs/3846C4AD4B3B4A728D756B1B7442914623RoyNorthrop GrummanUnited StatesUSA2024-03-27 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Northrop Grumman Space Systems is seeking a **Modernization Lead** to join our diverse team of professionals based out of our Roy, UT location working on the Sentinel program.
Northrop Grumman was awarded a contract for the engineering and manufacturing development (EMD) phase of the Sentinel program in September 2020. A program of mega-project scale, competitive flexibility and digital transformation are key to delivering a weapon system that will continue to evolve to outpace the threat and achieve and be affordably maintained and sustained over the expected 75+ year life. The Sentinel program modernizes nearly every facet of the land leg of the nuclear triad, including:
+ Developing a new intercontinental ballistic missile, including guidance, three stages of propulsion, payload reentry system and building 600+ missiles (400 deployed + spares for testing).
+ Completely refurbishing 450 buried launch facilities (silos) spread across ~39,000 square miles in five different states.
+ Developing, building, and populating launch centers, similar to heritage missile alert facilities, at the three missile wings.
+ Fielding new communications infrastructure and building/consolidating hundreds of support facilities, including for security, training, maintenance, and testing.
The role of the Modernization Lead is to ensure that Sentinel continually delivers new technologies and capabilities to the USG Customer and warfighters. This challenging position is critical to the viability of the Sentinel weapon system and helps drive long-term weapon system capability, affordability and availability. Responsibilities include, but are not limited to:
+ Develop and maintain the Sentinel Modernization Plan.
+ Perform long-range planning and technology insertion analysis in collaboration with Segment Advanced Planning Leads.
+ Partner with multiple functions including but not limited to Segment Advanced Planning Leads, Division Advanced Programs, other NG Sectors, Industry Partners, and USG Customer.
+ Establish and maintain positive relationships with USG Customer and Industry partners.
+ Communicate and update plans, progress, and projections regularly throughout modernization and sustainment lifecycle to Program Leadership.
+ Lead investment and capital allocation planning for Sentinel portfolio investments - drive processes to identify and prioritize investments and capital requests that are aligned with program strategy and gaps.
+ Develop and manage multi-year investment portfolio to achieve modernization plan including developing candidates for technology gap funding within the program and advocate for those resources.
+ Lead what-if scenario analysis in collaboration with Segment Advanced Planning Leads including modeling, simulation and analysis (MS&A) to feed technology roadmap and support investment portfolio.
+ Support new business initiatives including competitive and sole-source proposals.
Basic Qualifications:
+ Bachelors of Science in STEM discipline and 9 years of experience or Master's degree and 7 years of experience.
+ Experience supporting U.S. Government contracts and customers and/or project management in other **highly regulated** industries.
+ Minimum five (5) years of program leadership, systems engineering, strategy and/or capture role.
+ Experience in major proposals and/or captures and/or technology development efforts.
+ Experience leading across product teams.
+ The ability to deliver, manage, and present communications (written/oral)with stakeholders through organized processes to ensure program information is defined, collected, shared, understood, stored and retrieved in a manner that effectively meets program and stakeholder needs.
+ Experience independently assessing complex problems and decomposing into a plan of action while coordinating across a large and diverse team of technical and schedule/business SMEs.
+ Proven and effective executive-level briefing, writing and organizational skills.
+ Must have an active and current DoD Secret clearance and be SAP clearable.
+ US Citizenship is a prerequisite.
+ Ability to travel domestically, up to 25% of the time.
Preferred Qualifications:
+ Proven experience leading the development and execution of an Modernization Plan for a $100M+ enterprise.
+ Experience related to ICBM technologies and products.
+ Direct experience interfacing with a diverse group of stakeholders and customers.
+ Strategic thinker, skilled communicator, with negotiation capabilities.
+ Able to analyze and synthesize business, programmatic and technical challenges into a cohesive picture.
+ Action-oriented, self-starter, with an ability to lead through influence.
+ DoD Top Secret clearance.
**Salary Range:** $141,900 - $212,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10142629UtahUTModernization Lead (active DoD Secret clearance )None541865FAF4F74A8DB2AD8FE23F0F1E97http://northropgrumman-veterans.jobs/541865FAF4F74A8DB2AD8FE23F0F1E9723Annapolis JunctionNorthrop GrummanUnited StatesUSA2024-03-27 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators, who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we will support yours of expanding your personal network and developing skills, whether you are new to the field or an industry thought-leader. At Northrop Grumman, you will have the resources, support, and team to do some of the best work of your career.
The Sensing & Secured Solutions Teams are hiring! We are seeking an experienced Sr. Java Developer to work as a member of an Agile team developing a high-visibility, mission critical component of a large system. The new team member will be working with DevOps, containerization, deployment to and operation on Linux, API development, requirements development, and model-based systems engineering.
The Sr. Java Developer will develop, maintain, and enhance complex and diverse software systems (e.g. processing-intensive analytics, novel algorithm development, manipulation of extremely large data sets, real-time systems, and business management information systems) based upon documented requirements. This person will be responsible for working individually or as part of a team to review and test software components for adherence to the design requirements and documents test results. The team is seeking a talented engineer who resolves software problem reports, utilizes software development and software design methodologies appropriate to the development and software design methodologies appropriate to the development environment. The successful candidate shall have experience with creating specific input to the software components of system design to include hardware/software tradeoffs, software reuse, use of Commercial Off-the-Shelf (COTS)/ Government Off-the Shelf (GOTS) in place of new development, and requirements analysis and synthesis from system level to individual software components. This position requires the developer to perform extensive research as well software development.
Basic Qualifications:
- 14 years of experience as a Software Engineer in programs and contracts of similar scope, type, and complexity with a bachelor's degree in Computer Science or related discipline from an accredited college or university. - 18 years of experience as a SWE in programs and contracts of similar scope, type, and complexity with no degree can also be accepted.
- A current and active TS/SCI Clearance with Polygraph is required.
- An ability to develop, maintain, and enhance complex and diverse software systems.
- This position requires past experience in Java Software Development
Preferred Qualifications:
- An ability to analyze user requirements to derive software design and performance requirements
- An ability to design and code new software or modify existing software to add new features
- Experience with debugging existing software and correcting defects
- An ability to integrate existing software into new or modified systems or operating environments
- Experience with developing simple data queries for existing or proposed databases or data repositories
- Assisting with developing and executing test procedures for software components
**Salary Range:** $181,400 - $272,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Annapolis Junction, MDR10141969MarylandMDStaff Cyber Software EngineerNone6B6899097EB64B3AB7083CD8A603465Fhttp://northropgrumman-veterans.jobs/6B6899097EB64B3AB7083CD8A603465F23Fort BlissNorthrop GrummanUnited StatesUSA2024-03-27 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today. Northrop Grumman Defense Systems sector (NGDS) is seeking a **Manager Military Training 2** to join our growing team of diverse professionals supporting our III Corps program at **Fort Bliss in El Paso, TX (FBTX)** . The candidate will provide consulting expertise to military or defense clients as the **FBTX Mission Command Training Center (MTC)** Collective Training Team Leader and Mission Command Mentor-Trainer.
**Responsibilities** :
+ Coaches, mentors, and trains Army Battalion, Brigade, and Division staffs in the art and science of Mission Command
+ Plans, coordinates, prepares, and conducts battle staff exercises (STAFFEX), Military Decision-Making Process (MDMP) workshops, command post exercises (CPXs), staff / Tactical Command Post proficiency training, and other supporting events at the battalion, brigade, and division level.
+ Develops home station training support packages and supporting scenarios that are relevant to the operating environment of the training unit, which will focus on the art and science of mission command.
+ Provides over-the-shoulder and observer controller/trainer support to the training unit, battalion through division level, throughout the exercise life cycle (ELC) from the initial planning conference through the final After-Action Review (AAR).
+ As required, serves as High Command (HICOM) and/or Exercise Control (EXCON) Officer in Charge (OIC) employing relevant scripted and dynamic master scenario event list injects in a fluid tactical environment and in accordance with (IAW) unit training objectives.
+ Is fully conversant in the MDMP and how to use the MDMP to develop appropriate operations orders/fragmentary orders.
+ Coaches the unit Executive Officer (XO) / Chief of Staff in how to direct and synchronize the staff during planning and execution; conversant in the Rapid Decision-Making and Synchronization Process (RDSP).
+ Familiar with site-wide operations and can act as the deputy site lead when the site lead is not present or available.
**Basic Qualifications** :
+ U.S. Citizenship
+ One of the following:
+ Bachelor's Degree and a minimum of 10 years of professional and or military experience
+ Master's Degree and a minimum of 8 years of professional and or military experience
+ Must have active U.S. Department of Defense (DoD) Secret Security Clearance with the ability to obtain a Top-Secret Security clearance
+ Military Education Level 4 (MEL4) (Intermediate level Education) or service equivalent.
+ Former battalion (or higher) level Operations Officer (S3) or Executive Officer (XO); alternately, three years' experience as a division-level Current Operations, Future Operations, or Future Plans officer.
+ Working knowledge of Army Mission Command information Systems (MCIS) such as the Command Post Computing Environment (CPCE), Command Post of the Future (CPoF), and the Joint Battle Command-Platform (JBC-P) / Joint Capabilities Release (JCR).
+ Familiarity with the tenets of Multi-Domain Operations
+ Outstanding written and verbal communication skills and ability to correspond and communicate with senior military leaders.
+ Must be able to work on-site at Fort Bliss in El Paso, TX
+ Must be able to travel in the United States and overseas to support simulation-based Army training exercises as needed (up to 10% of the time)
**Preferred Qualifications** :
+ Former Armor, Infantry, Field Artillery, Engineer, or Aviation Battalion Commander; alternately, former Brigade Commander (of any type).
+ MEL 1 (Senior Service College Graduate).
+ Former instructor at the Maneuver or the Mission Command Center of Excellence.
+ Former Combat Training Center (CTC) Observer/Controller-Trainer.
+ Working knowledge of Master Scenario Events List development and providing an uncooperative, challenging Opposing Force during command post exercises.
+ Working knowledge of and experience with Army simulation systems (i.e., Intelligence and Electronic Warfare Tactical Proficiency Trainer ; Joint Land Component Constructive Training Capability, War Simulation; Live, Virtual, Constructive Integrating Architect
**Salary Range:** $87,300 - $130,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fort Bliss, TXR10115718TexasTXManager Military Training 2None8E78E249C7E8419D969471330FB8DDF7http://northropgrumman-veterans.jobs/8E78E249C7E8419D969471330FB8DDF723Annapolis JunctionNorthrop GrummanUnited StatesUSA2024-03-27 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is hiring! Earn up to a $20,000 sign on bonus if you are hired against specific TS/SCI Polygraph positions.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators, who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we will support yours of expanding your personal network and developing skills, whether you are new to the field or an industry thought-leader. At Northrop Grumman, you will have the resources, support, and team to do some of the best work of your career.
The Sensing & Secured Solutions Teams are hiring! We are seeking an experienced Principal Java Developer to work as a member of an Agile team developing a high-visibility, mission critical component of a large system. The new team member will be working with DevOps, containerization, deployment to and operation on Linux, API development, requirements development, and model-based systems engineering.
The Principal Java Developer will develop, maintain, and enhance complex and diverse software systems (e.g. processing-intensive analytics, novel algorithm development, manipulation of extremely large data sets, real-time systems, and business management information systems) based upon documented requirements. This person will be responsible for working individually or as part of a team to develop and test software components for adherence to the design requirements. The team is seeking a talented engineer who resolves software problem reports, utilizes software development and software design methodologies appropriate to the development and software design methodologies appropriate to the development environment. The successful candidate shall have experience with creating specific input to the software components of system design to include hardware/software tradeoffs, software reuse, use of Commercial Off-the-Shelf (COTS)/ Government Off-the Shelf (GOTS) in place of new development, and requirements analysis and synthesis from system level to individual software components.
Basic Qualifications:
- **A current and active TS/SCI Clearance with recent (less than 5 years ago)Polygraph is required.**
- 7 years of experience as a Software Engineer in programs and contracts of similar scope, type, and complexity with a bachelor's degree in Computer Science or related discipline from an accredited college or university. - 11 years of experience as a SWE in programs and contracts of similar scope, type, and complexity with no degree can also be accepted.
- An ability to develop, maintain, and enhance complex and diverse software systems.
- This position requires past experience in Java Software Development
Preferred Qualifications:
- An ability to analyze user requirements to derive software design and performance requirements
- An ability to design and code new software or modify existing software to add new features
- Experience with debugging existing software and correcting defects
- An ability to integrate existing software into new or modified systems or operating environments
- Experience with developing simple data queries for existing or proposed databases or data repositories
- Assisting with developing and executing test procedures for software components
**Salary Range:** $118,000 - $177,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Annapolis Junction, MDR10137928MarylandMDPrincipal Cyber Software Engineer (SB)None9072F159EAB64109A1756A29C18FEA93http://northropgrumman-veterans.jobs/9072F159EAB64109A1756A29C18FEA9323CincinnatiNorthrop GrummanUnited StatesUSA2024-03-27 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Chief Information Office is seeking a well-qualified Windows Systems Administrator to join our qualified, diverse, and dynamic team of technical professionals. This position is located in the Cincinnati, OH area.
The selected candidate will be required to work on-site, full-time at our Cincinnati, OH campus . The individual will perform the following duties on a day-to-day basis in support of the program:
+ Perform as primary Windows Systems Administrator for multiple classified government contracts
+ Able to communicate effectively at all levels of the organization, with internal or external customers, in written and oral format.
+ Maintain smooth operation of multi-user computer systems, including coordination with network, software, and system engineers, PC desktop technicians, project managers, end users, and customer and IT management.
+ Able to support multiple networks. Ensure necessary administration tasks are completed, and direct others as necessary.
+ Analyze internal or external customer requirements and determine equipment and software requirements for solutions to problems by means of automated systems.
+ Recommend and implement system enhancements that will improve the performance and reliability of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Provide backup and recovery services; manage file systems and disk space; manage virus protection on a routine basis.
+ Create and maintain user and computer accounts and modify file permissions and security access lists.
+ Test new releases of products to ensure compatibility and minimize user impact.
+ Develop and document technical processes and procedures as needed.
+ Make recommendations to purchase hardware, software, system components.
+ Interact, meet, discuss, and troubleshoot issues with vendors; evaluate vendor products, services, and suggestions.
+ Adhere to strict Information Systems security guidelines in all cases.
+ Maintain security audit and logging information on all classified networked and standalone computers as directed by the Information Systems Security Manager (ISSM).
+ Prepare security documentation for input to Computer Security.
+ Report project status as required for all recurring and non-recurring efforts.
+ Works under minimal direction and independently determines and develops approach to solutions.
Note: Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
This position may filled at a higher level based on the qualifications listed below.
**Basic Qualifications for Level 3:**
+ Associate's degree with 7 years of experience OR Bachelor's degree with 5 years of experience OR Master's degree with 3 years of experience OR 9 years of experience may be considered in lieu of degree.
+ Active DoD Top Secret security clearance.
+ Ability to obtain a Sensitive Compartmented Information (SCI) access level as a condition of continued employment.
+ IAT Level II certification (ex: Security+ CE) or greater
+ Experience managing Windows Server OS and domain architecture.
**Basic Qualifications for Level 4:**
+ Associate's degree with 11 years of experience OR Bachelor's degree with 9 years of experience OR Master's degree with 7 years of experience OR 13 years of experience may be considered in lieu of degree.
+ Active DoD Top Secret security clearance.
+ Ability to obtain a Sensitive Compartmented Information (SCI) access level as a condition of continued employment.
+ IAT Level II certification (ex: Security+ CE) or greater
+ Experience managing Windows Server OS and domain architecture.
**Preferred Qualifications:**
+ Bachelors or Masters degree in IS related field
+ Active DOD TS/SCI Clearance
+ Experience operating under and managing systems within DCSA, DCID 6/3-ICD 503, RMF, STIG, JAFAN, or JSIG information system environments
+ VMWare experience, VCenter, ESXi environments v6.5+ Experience installing, configuring, and maintaining computer hardware in a networked environment
+ Windows domain architecture experience
+ Windows AD, LDAP, VMWare, and knowledge of SAN storage systems
+ Linux Operating System experience, and/or certifications
ESCSO
ESWindowsAdmin
**Salary Range:** $88,600 - $133,000
**Salary Range 2:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Cincinnati, OHR10140706OhioOHWindows Systems Administrator 3/4 - Top SecretNone98626168BF214E1CA8FDF3816E748D6Fhttp://northropgrumman-veterans.jobs/98626168BF214E1CA8FDF3816E748D6F23GoletaNorthrop GrummanUnited StatesUSA2024-03-27 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Space Systems Sector is seeking a Business Management Manager 1 for our Deployable Systems Operating Unit. **This position will be located in Goleta, CA and will be required to travel to Oxnard, CA approximately 10% of the time. Relocation is available.**
The Business Management Manager 1 will be a direct report to Business Management and matrix to the Deployable Systems Operating Unit Product Director. The Manager will partner with the Operating Unit Director and Program Managers to manage all financial aspects to achieve the Business Unit's financial and strategic objectives. Roles and responsibilities include, but are not limited to, the following:
+ Coordinate and lead all business management functions including but not limited to quarterly EAC process, financial forecasting, monthly reporting, earned value management and pricing
+ Provide direct management for a program cost team that resides at multiple locations
+ Provide financial business analysis and reporting with the ability to clearly communicate with senior management as it relates to financial program status and analyses.
+ Coordinate and Develop business strategy with both BU FP&A and OU Product Director to enable accurate forecasting and long-range planning
+ Oversee key line forecasting, work authorization, implementation of EV reporting, program budgeting, estimate at complete development, and various financial reporting activities.
+ Coordinate cost and schedule analyses and preparation of reports to ensure contracts are within negotiated and agreed-upon cost and schedule parameters and government cost control guidelines.
+ Assist in coordinating proposals from draft RFP to award, including review of RFP, FAR clauses, coordination with subcontractor flow-downs, basis of estimates, indirect rates, pricing strategies, cash management, negotiation strategy and oversight, and presenting to Senior Management.
+ Manage risks with multiple contract types from both an execution and financial perspective.
The successful candidate must have a proven track record in leading a diverse team as well as the ability to promote the professional development of the business management workforce, fostering a collaborative culture that sustains the momentum around ethics and integrity, employee engagement, affordability, innovation, value growth and continuous improvement. Additionally, the ideal candidate must possess the following KEY attributes:
+ Leadership - No issues holding teammates accountable to due dates
+ Interpersonal Skills - Clean communicator, works will with teammates, does not work in silos
+ Agility - Comfortable working in non-standardized environments
+ Organized - Capable of managing multiple time sensitive deliverables
**Basic Qualifications:**
+ Bachelor's degree with 6+ years of related experience - OR Master's degree with 4+ years of related experience
+ Will consider an additional 4+ years of experience in lieu of degree
+ Leadership experience
+ Experience with program proposals, cost and schedule control, program budgeting and forecasting for both DoD and International Programs
+ Experience with ERP Systems and MS Project
+ Earned Value and financial reporting experience on a variety of contract types
+ Ability to obtain and maintain a US Secret level security clearance
**Preferred Qualifications:**
+ MBA
+ Experience in managing EAC's on challenging programs [including programs that are in a total loss]
+ Experience working with CostPoint and IBM Planning and Analytics tools
+ Familiarity with the risk management process and the development of S-Curve modeling for proposals and schedule risk assessments
+ Prior people management experience
**Salary Range:** $104,600 - $156,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Goleta, CAR10146195CaliforniaCABusiness Management Manager 1 - Multiple OpeningsNone993DDEE9AA734E8AA1AA8F707503DEAFhttp://northropgrumman-veterans.jobs/993DDEE9AA734E8AA1AA8F707503DEAF23OxnardNorthrop GrummanUnited StatesUSA2024-03-27 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
An organization within Northrop Grumman's Payload and Ground Systems (PGS) division, Mission Enabling Products is a leading designer, producer, and supplier of spacecraft components that power and enable satellites of all classes. Products include spacecraft panels, bus structures, precision optical structures, deployable structural systems and mechanisms, solar arrays, and antenna reflectors. Our products are on virtually every U.S. satellite built in the last 20 years.
Learn more about Mission Enabling Products at our website: https://www.northropgrumman.com/space/spacecraft-components/
**Multi-Body Dynamics Analysis** Job Req:
The successful candidate will be responsible for performing multibody dynamics simulation analysis and test of space-based hardware using industry standard structural analysis software and tools. You will need a strong understanding of the basic principles of statics, flexible body dynamics, mechanics of materials, strength analysis, stress, kinematics, and mass properties.
**Essential Functions:**
+ As a MBD Structural Engineer, candidate will use expert knowledge of MBD (NX Motion/ MSC Adams) as well as structural dynamics, to provide design guidance to the programs and systems/subsystems engineering teams.
+ Development of kinematic loads and analysis using advanced numerical solutions, correlation of test results to model predictions, and preparation of technical documents and presentations.
+ Demonstrated skills and ability to perform professional tasks, including:
+ collaborate and lead in a team environment
+ prioritize and adjust tasks to accomplish the project result
+ prioritize and accomplish multiple task responsibilities simultaneously
+ comprehend and analyze complex problems and develop innovative solutions
+ Pioneer new ways to approach analysis in the realm of MBD as it pertains to our unique hardware
**Basic Qualifications** :
**Level 3**
+ Bachelor of Science degree in Mechanical, Structural, or Aerospace Engineering from an accredited university with 5+ years of experience in structural analysis and/or dynamics; OR Master of Science degree in Mechanical, Structural, or Aerospace Engineering from an accredited university with 3+ years of experience in structural analysis/and or dynamics
+ Experience with NX Motion (or MSC ADAMs) analysis software and data processing tools
+ Experience in structural dynamic analysis and testing of aerospace hardware
**Level 4**
+ Bachelor of Science degree in Mechanical, Structural, or Aerospace Engineering from an accredited university with 9+ years of experience in structural analysis and/or dynamics; OR Master of Science degree in Mechanical, Structural, or Aerospace Engineering from an accredited university with 7+ years of experience in structural analysis/and or dynamics
+ Experience with NX Motion (or MSC ADAMs) analysis software and data processing tools
+ Experience in structural dynamic analysis and testing of aerospace hardware
**Preferred Qualifications:**
+ Experience with industry Multibody Dynamics Simulation software (ADAMS, NX Motion Simulation)
+ Experience in the areas of frequency response analysis, transient response analysis, random response analysis, shock response analysis, deployment analysis, on-orbit/jitter analysis, vibroacoustic analysis, modal survey, model correlation, or vibration isolation and attenuation
+ Strong communication skills and demonstrated ability to work well in a team environment
+ Ability to work with only general direction
**Salary Range:** $107,300 - $160,900
**Salary Range 2:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Oxnard, CAR10145674CaliforniaCAKinematics Structural Analysis 3/4 - Deployable Space ProductsNoneC06D60D689CC480BB9BB89C9F7F0F54Dhttp://northropgrumman-veterans.jobs/C06D60D689CC480BB9BB89C9F7F0F54D23FairfaxNorthrop GrummanUnited StatesUSA2024-03-27 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
This position description does not represent a current opening but may be used to identify candidates with skills and experience for positions within Northrop Grumman that frequently become available. Candidates who express an interest may be considered for future positions at Northrop Grumman.
Perform assessments of systems and networks within the networking environment or enclave and identify where those systems and networks deviate from acceptable configurations, enclave policy, or local policy. This is achieved through passive evaluations such as compliance audits and active evaluations such as vulnerability assessments. Establishes strict program control processes to ensure mitigation of risks and supports obtaining certification and accreditation of systems. Includes support of process, analysis, coordination, security certification test, security documentation, as well as investigations, software research, hardware introduction and release, emerging technology research inspections and periodic audits. Assist in the implementation of the required government policy (i.e., NISPOM, DCID 6-3), make recommendations on process tailoring, participate in and document process activities. Perform analyses to validate established security requirements and to recommend additional security requirements and safeguards. Support the formal Security Test and Evaluation (ST&E) required by each government accrediting authority through pre-test preparations, participation in the tests, analysis of the results and preparation of required reports. Document the results of Certification and Accreditation activities and technical or coordination activity and prepare the system Security Plans and update the Plan of Actions and Milestones POA&M. Periodically conduct a complete review of each system's audits and monitor corrective actions until all actions are closed.
**Sr Principal Cybersecurity Analyst**
Basic Qualifications:
+ Bachelor's degree from an accredited university in Computer science, Engineering, or related field and a minimum of nine (9) years of relevant experience
+ Must be a U.S. Citizen and eligible to acquire a current TS/SCI clearance with Poly
+ Experience reviewing technical security assessments of computing environments that identify points of vulnerability or non-compliance
+ Experience implementing the Risk Management Framework Process (RMF) and ability to support and document certification and accreditation artifacts
+ Must have experience supporting the A&A process for system accreditation
Preferred Qualifications:
+ U.S. Citizen with an Active TS/SCI with Poly
+ CISSP, CASP, or other information technology security related certifications
+ Experience using Rapid 7 or Tenable Nessus applications
+ Background in Technical Writing or Instructional Systems Design would be helpful
+ Privileged/Power-user level of knowledge is strongly suggested in at least one of the following: Microsoft Windows, Enterprise Linux variants, and Cloud computing infrastructure like AWS or Microsoft Azure
**Principal Cybersecurity Analyst**
Basic Qualifications:
+ Bachelor's degree from an accredited university in Computer science, Engineering, or related field and a minimum of five (5) years of relevant experience
+ Must be a U.S. Citizen and eligible to acquire a current TS/SCI clearance with Poly
+ Experience reviewing technical security assessments of computing environments that identify points of vulnerability or non-compliance
+ Experience implementing the Risk Management Framework Process (RMF) and ability to support and document certification and accreditation artifacts
+ Must have experience supporting the A&A process for system accreditation
Preferred Qualifications:
+ U.S. Citizen with an Active TS/SCI with Poly
+ CISSP, CASP, or other information technology security related certifications
+ Experience using Rapid 7 or Tenable Nessus applications
+ Background in Technical Writing or Instructional Systems Design would be helpful
+ Privileged/Power-user level of knowledge is strongly suggested in at least one of the following: Microsoft Windows, Enterprise Linux variants, and Cloud computing infrastructure like AWS or Microsoft Azure
**Salary Range:** $107,300 - $160,900
**Salary Range 2:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fairfax, VAR10141407VirginiaVASr. Principal CybersecurityNoneE3AC25A605F94C23B0E5F1DE4C7E05E0http://northropgrumman-veterans.jobs/E3AC25A605F94C23B0E5F1DE4C7E05E023BaltimoreNorthrop GrummanUnited StatesUSA2024-03-27 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
We're looking for you to join our team as a **Principal Program Cost Control Analyst or a Senior Principal Program Cost Control Analyst** based out of **Linthicum, MD** . This position offers the 9/80 work schedule.
**What You'll Get to Do**
This requisition represents multiple existing opportunities within our Mission Systems sector Business Management organization. If selected, the **Principal or Senior Principal Program Cost Control Analyst** **(PCA)** will provide support within one of the following Divisions as determined by business need, candidate background, and experience. Note: Available program areas listed are not comprehensive as additional opportunities may become available .
+ Airborne Multifunction Sensors (AMS) Division
+ Networked Information Solutions (NIS) Division
The **PCA** will interface with the program managers, functional management, cost account managers (CAMs) and business managers to provide financial support and analysis to meet program requirements. They will will prepare budgets and schedules for contract work and assist in financial analyses such as funding profiles, sales outlook, cash forecasting, and variance analysis.
The **PCA** will monitor costs and schedules on contracts requiring validated cost schedule control system. This will include performing perform Earned Value Metric (EVM) tasks such as Work Breakdown Structure (WBS) development, budget baseline, cost risk analysis/ assessment and visibility reports, development, and analysis of estimates to complete.
The **PCA** will perform analysis and prepare reports in order to ensure that contracts are within negotiated and agreed-upon parameters and government cost control guidelines, including maintaining accurate records of expenditures, directing preparation of expenditure projections, and submitting timely requests for additional funding to the government.
The **PCA** will support audits and reviews as requested by programs, customers, and company management, support Annual Operating Plan, Resource Plan, and Long Range Strategic Plan activity, and prepare financial inputs to internal reports and presentations (IPRS, Dashboards, BARs, Etc.)
**This requisition may be filled as a Principal Program Cost Control Analyst or a Senior Principal Program Cost Control Analyst.**
**Basic Qualifications for a Principal Program Cost Control Analyst**
+ Bachelor's degree with 6 years of related professional experience - OR - a Master's degree with 4 years of related professional experience
+ Will consider 10 years of applied experience in lieu of degree requirement.
+ Experience with financial systems including cost performance reporting and analysis
+ Strong PC skills - specifically Excel proficiency (including pivot tables, Vlookup, and conditional formatting)
+ Ability to travel domestically 10% of the time.
+ A current/active DoD Secret Clearance
**Basic Qualifications for a Senior Principal Program Cost Control Analyst**
+ Bachelor's degree with 10 years of related professional experience - OR - a Master's degree with 8 years of related professional experience
+ Will consider 14 years of applied experience in lieu of degree requirement.
+ Experience with financial systems including cost performance reporting and analysis
+ Strong PC skills - specifically Excel proficiency (including pivot tables, Vlookup, and conditional formatting)
+ Ability to travel domestically 10% of the time.
+ A current/active DoD Secret Clearance
**Preferred Qualifications:**
+ Degree in Finance, Accounting, or Business Administration or related field
+ Experience with COBRA, COGNOS, SAP
+ Experience with Earned Value and performance measurement baseline concepts and ability to apply them to multiple contracts, CLINs and control accounts.
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $84,600 - $127,000
**Salary Range 2:** $104,900 - $157,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10146514MarylandMDPrincipal or Senior Principal Program Cost Control Analyst - SECRET Clearance RequiredNoneE8AA9E003ED74174BF0351FEF6C747AEhttp://northropgrumman-veterans.jobs/E8AA9E003ED74174BF0351FEF6C747AE23LanhamNorthrop GrummanUnited StatesUSA2024-03-27 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Advanced Technology Solutions (ATS), located in Lanham Maryland, specializes in high performance, high reliability avionics and Space Flight subsystems for Aerospace, Defense, Space, Nuclear Defense and Instrumentation applications. ATS' space products have supported more than 28 space missions over a period of 25 years. These missions stretch back to Apollo and the beginning of the US Space Program and include a large diversity of civil and military programs, including notable programs like SBIRS, X-37B, Hubble, Orbital Express, Space Station, Shuttle, Mars Lander, Astronaut EMU Suits and 20 other NASA missions including four of their early small satellites. ATS was one of the first organizations in Northrop Grumman to foresee the potential of evolving Small Satellite technologies for application within the military, civil and commercial space markets.
**This position will be located in Lanham Maryland.**
**Responsibilities include:**
+ Reviewing, analyzing, and reporting on quality discrepancies related to assembly, process, mechanical, electrical and electro-mechanical systems
+ Investigating problems and developing disposition and corrective actions for recurring discrepancies
+ Interfacing with manufacturing, engineering, customer, vendor and subcontractor representatives to ensure requirements are met
+ Directly supporting Production activity requiring verification of compliance to program requirements
+ Directly supporting Receiving Inspection activity for EEE components and mechanical components.
+ Utilizing the Manufacturing Execution System to record the results of characteristics included in Inspection Plans and Work Order operations.
+ Works from blueprints, diagrams, customer specifications, drawing or inspection instructions and checklists.
+ Documents findings not meeting requirements of Work Order, Engineering documentation and applicable standards in a Manufacturing Execution System.
+ Establish and apply strong technical knowledge in quality engineering, specifications/standards, drawing interpretation, measurement and analysis methods, processes and products, and relevant technologies
+ Identify and resolve complex technical and quality problems, issues, and defects on the production floor through problem-solving methodology, root cause investigation and corrective action implementations
+ Design and analyze inspection and testing processes, mechanisms and equipment while ensuring that correct and effective metrics are utilized to improve product compliance, minimize risks, and increase quality performance across the factory.
+ Monitor and verify quality in accordance with statistical process, and/or other control procedures.
+ Support QMS buy completing Internal Audits and other QMS related tasks.
+ Ability to coordinate/collaborate with external quality teams in data gathering, problem-solving, and risk mitigation to ensure quality standards are in place and maintained.
+ Review and evaluate non-conforming material for compliance to drawings, specifications, and standards
+ Use LEAN concepts and Six Sigma tools as aides to problem resolution
+ Experienced facilitator of Root Cause and Corrective Action activities.
+ Mentor and provide guidance to team members and less experienced personnel
**Basic Qualifications:**
+ Bachelor's degree in a technical discipline and 5 years experience, or Associate's Degree and 10 years experience,, orHigh School Diploma and 15 years experience
+ Experience in high-reliability field such as aerospace, space flight, medical etc.
+ Experience in production/manufacturing environment
+ Experience building, testing, and/or inspecting electronic, mechanical, or electromechanical components
+ U.S. Citizen
**Preferred Qualifications:**
+ Knowledge of EEE components, Industry Workmanship Standards for electronic assemblies, and mechanical inspection.
+ ASQ CQI, NASA, J-standard, IPC, or relative certifications
+ Experience with ISO 9001, AS9100, or similar compliance auditing
+ Experience supporting dispositions for non-conforming material, root cause/corrective action analysis.
+ Familiarity with ERP/MES systems, e.g. SAP, Solumina, etc.
**Salary Range:** $77,100 - $115,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Lanham, MDR10145205MarylandMDQuality Engineer 2NoneEB21F99DF9D14040AB6F8CE4D3E20042http://northropgrumman-veterans.jobs/EB21F99DF9D14040AB6F8CE4D3E2004223LondonNorthrop GrummanUnited KingdomGBR2024-03-27 06:15:43**Your Opportunity to Define Possible** **.** **Our Opportunity t** **o Deliver the Nation's Security** **. Together.**
**Role clearance type:** You must be able to gain and maintain the relevant UK Government clearance in line with the job role (SC)
**Location:** Hybrid - London or New Malden
**About Your Opportunity:**
The Proposal Coordinator is responsible for the timely delivery and quality of a proposal, with direct oversight and control of the proposal process, supporting the Capture Manager and bid teams in delivering successful tenders, and operating from the Pre-Proposal Preparation phase through to Post-Submittal of the Northrop Grumman Business Acquisition Process (BAP). The Proposal Coordinator works across all NGUKL customer accounts.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible and hybrid working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme -** exceptional performance is recognized through our annual incentive programme which is awarded to top performers who excel.
+ **Career Development -** opportunity for ongoing professional development and career growth opportunities.
**Your Responsibilities:**
+ Drive the ultimate generation of all capture proposals, outlining the proposal structure as required by the Request For Proposal (RFP), setting the schedule and allocation of section / contribution responsibilities to the relevant subject matter expert
+ Continuously monitor progress and content of inputs to ensure that the RFP requirements are being suitably answered, the content is of suitable quality and coherence across the submission
+ Establish and develop the tools and techniques to continuously enhance the quality of our bid submissions, assessing market best practice and its applicability in order to support the evolution of our competitive bid approaches
+ Develop and own the internal stakeholder management process for each submission
+ Research and analyse historical data and project experience to support proposal efforts
**Your Experience:**
+ Experience of operating within the constraints of formal tendering processes, using procurement portals such as Award and Bravo solution
+ Clear demonstrable experience in product, service and complex bid documentation
+ Experienced in the creation and delivery of clear and concise progress reporting at all levels within the business
+ Relevant experience of people management and leadership
**Your Future Team:**
You will be joining an established, energized, collaborative and supportive business development team who are focused on delivering growth for our customers and stakeholders at a time where Northrop Grumman UK is experiencing unprecedented growth.
Our focused, flexible and supportive team work collaboratively to capture new opportunities that lead to growth and build on shared success. We thrive on learning through new projects, motivating us to create new, innovative and market leading responses. We deliver industry leading technology into some of the UK armed forces most exciting programs, and deliver capability across the force commands.
We believe that creating a team that values diversity and fosters inclusion is essential to great performance. We know the best ideas come from diversity of thought, background, perspective, culture, gender, race, age and many other elements. We welcome candidates from all backgrounds and particularly from communities currently under-represented within our industry . We treat everyone with respect and foster safe and inclusive environments.
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And w ithin a respectful and inspirational environment, we value what you say and do.
**Interested in our opportunity?**
**Yes** - then simply submit your application online. Your application will be reviewed by one of our expert recruiters who'll then respond advising you of the outcome and next steps for successful candidates.
**Possibly, I'd like to find out more** - then connect direct with talentenquiries@uk.ngc.com , where one of our recruitment business partners will be happy to support you with any enquires.
Background checks and potentially security clearance form part of the recruitment process, our team will inform you of the procedures when required.
**Northrop Grumman UK:**
Work with a global brand that makes a real contribution to our nation's security and future. At Northrop Grumman UK, the brightest minds come together to push the boundaries and Define Possible. As leaders in the digital transformation of Aerospace, Defence and Intelligence we are providing ground-breaking outcomes for our customers.
**Find out more** : https://www.northropgrumman.com/careers/job-search-united-kingdom/
\#LI-CJ1
\#LI-HY
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.London, GBRR10142894Proposal CoordinatorNoneEC786CB50F91461BBBEBC14205B34985http://northropgrumman-veterans.jobs/EC786CB50F91461BBBEBC14205B3498523LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:43At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a well-qualified Lead Linux Administrator to join its dynamic team of technical professionals in the Linthicum, MD area.
The selected candidate will be required to work on-site, full-time, with time split between our Linthicum, MD and Annapolis Junction, MD campuses. Roles and responsibilities include but not limited to:
+ Perform as primary Lead Linux System Administrator for a large, classified government contract(s).
+ Maintain efficient operations of the entire IT infrastructure including severs, desktop and networking equipment.
+ Able to communicate effectively with all stakeholders in written and oral formats.
+ Ensure necessary IT projects are completed independently or assigned to others as necessary.
+ Obtain and analyze internal or external customer requirements and recommend equipment and software as need.
+ Implement system enhancements that will improve the performance, reliability and security of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Manage backup infrastructure then provide backup and recovery services.
+ Manage virus protection on a routine basis as directed by policy.
+ Maintain security audit and logging information on all classified networked and standalone computers as directed by the Cyber Security team.
+ Create and maintain user and computer accounts and modify file permissions as directed by policy.
+ Develop and maintain technical processes and procedures as needed.
**Basic Qualifications:**
+ Associate's degree with 11 years of experience OR a Bachelor's degree with 9 years of experience, or a Master's degree with 7 years of experience, or a PhD with 4 years of experience; a High School diploma or equivalent with 13 years of experience may be considered in lieu of a completed degree.
+ Experience operating under and managing systems within current applicable information systems compliance standards.
Technical Abilities:
+ Experience maintaining Classified Linux Domains in effective operations.
+ Experience installing and managing Linux operating systems in a server environment.
+ Understanding of installing operating system patches.
+ Experience installing, configuring, and maintaining computer hardware in a networked environment.
+ Experience implementing STIGs (Security Technical Implementation Guides) on Linux systems.
+ General Linux troubleshooting.
+ End user support.
+ Support tier 2 and 3 helpdesk tickets.
+ Support and troubleshot remote nodes.
Clearance and Access:
+ Candidates must have a current DoD Top Secret/SCI level security clearance with a polygraph (at a minimum), to include a closed investigation date completed within the last 6 years, or must be enrolled in the DoD Continuous Evaluation Program (CEP), in order to be considered; the required security clearance must be maintained as a condition of continued employment.
+ Must agree to submit and successfully complete a polygraph reexamination as a condition to retain access to special program(s).
+ Must have the ability to obtain and maintain access to program as a condition of continued employment.
Non-Technical Abilities and Certs:
+ Current IAT Level II certification (ie. Security+, CASP, CISSP, or similar DOD 8570 security certification) or must be maintained as a condition of continued employment.
+ Experience leading a technical team of IT professionals.
+ Experience mentoring junior level IT professionals.
+ Develop and administer IT Training programs.
+ Experience giving technical presentation to technical and non-technical attendees including executive leadership.
+ Experience providing surge support to other programs as needed.
+ Active vendor-based IT certifications.
**Preferred Qualifications:**
+ Bachelor's or Master's degree in IS related field.
+ Experience with VMWare implementation, migration, and maintenance.
+ Experience with NetApp and/or Nutanix implementation, migration and maintenance.
+ Experience with system design and architecture.
+ Experience with communicating with executive leadership.
**Salary Range:** $115,400 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10146041MarylandMDSr. Principal Linux Systems Administrator - PolygraphNoneF5BAE5DCEA23490FA396A80092910778http://northropgrumman-veterans.jobs/F5BAE5DCEA23490FA396A8009291077823Schriever AFBNorthrop GrummanUnited StatesUSA2024-03-27 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems in air and space that impact people's lives around the world today, and for generations to come. Our work preserves freedom and democracy and advances human discovery and our understanding of the universe. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have a lot of fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Missile Defense Solutions organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
Northrop Grumman is seeking a motivated Engineer to join our team that possesses system administration, analytical, and problem-solving skills necessary for quickly developing recommendations based on quantitative and qualitative data from many different types of sources. Understanding the general problem space and is confident in explaining the different insights generated from the data. Work on what matters!
**DUTIES AND RESPONSIBILITIES:**
• Focal point for BOA Operations, War Fighter support
• 2nd/3rd Shift (Pittman Schedule)
• Maintain system status, OS/SW updates and troubleshoot multiple systems
• Performance analysis of missile tracking
• Track/log Real World Events
• Analysis of space-based sensor constellations
• Technical presentations and analysis reports as required
• Ground and Flight Test support
• Linux System Administration
**Basic Qualifications for an Information Systems Technical Analyst, Operations:**
• 6 Years with Bachelors; 4 Years with Masters: 10 years' experience in lieu of a degree
• 2+ years of related experience
• DoD Top Secret clearance that is or has been active within the last two years and SCI eligibility
• Linux experience
• Experience with NetApp, RSA, Cisco, and HPE servers
• Ability to travel on occasion to Northrop Grumman and customer locations
• Ability to obtain/pass a Counter Intelligence (CI) poly
**Preferred Qualifications:**
• Bachelor's degree in Engineering, Physics, Math or related discipline
• Experience in performance analysis, requirements verification, and validation
• Python or Matlab experience
• Performance analysis experience for complex, mathematically intensive systems
• Experience with missile trajectory analysis
• Familiarity with the Ballistic Missile Defense System
• Familiarity with Overhead Persistent Infrared
• Familiarity with track filters
**Salary Range:** $86,600 - $133,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Schriever Afb, COR10154320ColoradoCOPrincipal Information Systems Technical AnalystNone080CB47AC7F247B5B343C6E5A9C4C013http://northropgrumman-veterans.jobs/080CB47AC7F247B5B343C6E5A9C4C01323KatherineNorthrop GrummanAustraliaAUS2024-03-27 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Triton/Aus Field Tech 4**
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
**Job Description:**
Northrop Grumman Defense Systems has an opening for a Field Technician 4 (MQ-4C Triton/Aus) to join our team of highly qualified & diverse professionals. This position will require travel/relocation to the Northern Territory of Australia **.**
Installs, operates, maintains, repairs, and modifies equipment. Performs a variety of maintenance and technical support on products such as equipment, integrated systems and subsystems, and software at customer and/or field locations. Analyzes and evaluates products and related performance. Troubleshoots and diagnoses malfunctions to eliminate problem in minimum time. Installs upgrades and removes products ensuring coordinative engineering field change. Maintains effective customer communications and relations. May/Will provide onsite training to customer & support personnel.
**Responsibilities** **:**
+ Performs/Provides developmental, production, and/or operational aircraft maintenance, repair, modification, and installation to support flight operations and fleet integration of the MQ-4C Triton air vehicle.
+ Areas of specialization: avionics, electrical, airframe, engine/run instrumentation systems, and integrated systems. (to include Bettie/Wilma operations)
+ Work from blueprints, engineering orders, schematics, repair manuals and other technical documents in the performance of maintenance, disassembly, rework, repair, replacement, re-assembly, or adjustment of various vehicle systems.
+ Troubleshoot and accurately diagnose malfunctions to properly identify and correct problems in minimum time.
+ Install upgrades and remove products ensuring coordinative engineering field changes.
+ Works closely with NG/Australia personnel to provide specific MQ-4C experience level training of the air vehicle.
+ Assists in delivery & documentation efforts of all training materials.
+ Provides daily (FSR) functionality support to execute within the statement of work.
+ Adhere to any/all Contractor Operating Procedures IAW (NGDS/NGA) requirements and local RAAF airfield guidelines/requirements.
+ May require safe for flight designation.
+ This position will require 100% OCONUS travel and is considered a long-term assignment.
+ Must be willing to committ to a 2 year OCONUS assignment
**This position will be a long-term expatriate opportunity. This position will include HOLA, COLA and other incentives for working this assignment overseas** .
**Basic Qualifications**
+ Associate degree or other 2-year technical degree/certification in a related field and 2 year's related (MQ-4C) experience; OR High School with 4 years of experience will be considered.
+ An Active DoD TOP SECRET clearance is required to start.
+ Ability to obtain and maintain clearance up to SCI/Poly requirement.
+ Aircraft Production/Modification/Operations experience of the (Triton MQ-4C)
**Preferred Qualifications**
+ Triton MQ-4C Wilma/Bettie operators
+ Experience instructing maintenance as an FSR of (Triton MQ-4C)
+ OOMA and U.S. Navy maintenance experience.
+ Avionics/Electrical background.
+ Airframes/Structures/Composites
+ Comfortable/confidant working within an international team setting to deliver experience, training, and other specific courseware.
**Salary Range:** $68,000 - $113,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Katherine, AUSR10139814Field Technician 4 (Australia) - Top SecretNone17720A227C4E42318E28B448354537F2http://northropgrumman-veterans.jobs/17720A227C4E42318E28B448354537F223BeavercreekNorthrop GrummanUnited StatesUSA2024-03-27 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Northrop Grumman Aeronautics Systems (NGAS) is seeking **Level 1 Manufacturing Technician** to join our Beavercreek, (Dayton) Ohio. We are a world-class manufacturer of composite primary and secondary structures for commercial and military aircraft and launch vehicles. Our work is mission critical and directly contributes to national security.
**Essential Functions:**
+ Fabricate composite components/tools, which may include bond prep, tool prep, ply kit generation, lamination, vacuum bagging, de-molding, trimming, finishing, core fabrication, and bond work
+ Troubleshoot and solve unique problems working with engineering and other composite technicians
+ Handle perishable materials, paying close attention to expiration dates and out time life, and traceability information
+ Operate handheld tools. (e.g.) Scissors, razor knives, grinders, drills, sanders, cutting wheels, saws, routers, etc.
+ Utilize lean manufacturing principles and disciplines to enhance quality, cost, and delivery
+ Able to work in a team environment
+ Support other departments or completes other duties as necessary
+ Be able to operate autoclave and curing ovens
+ Work in both FOD critical and FOD sensitive environments and observe all related safety procedures
+ Responsible to completed and pass job specific qualifications programs required to perform task. Maintain a clean and safe working environment
The ideal candidate must be interested in technical growth & enjoy a culture were teamwork and collaboration drive success. Additionally, you must be able & willing to work in a process-centric environment.
This position requires an active DOD Secret clearance. While you are waiting for clearance, you could be performing non-cleared first shift work for other groups in support of our mission. Once you receive your clearance, you will begin working your assigned position.
**Basic Qualification:**
+ High School Degree or equivalent (GED)
+ Basic computer skills
+ Manufacturing experience required for this position
+ Must be able to perform moderate strenuous physical and repetitious work to include bending, stooping, kneeling, lifting up to 50 pounds
+ Ability to work flexible/changing schedules including weekends
+ Ability to work in Kettering or Beavercreek location
+ Ability to obtain DoD Secret Security Clearance and special program access within a reasonable period of time as determined by the needs of the business
**Preferred Qualifications:**
+ Composite manufacturing experience to include layup, assembling and fabricate of composite parts
+ Demonstrated ability using standard measuring equipment and power hand tools
+ Ability to read and understand blueprints, technical specifications, and operating procedures
+ A&P License
**Salary Range:** $18 - $30
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Beavercreek, OHR10147405OhioOHManufacturing Tech 1None1A4E36100C844FB29208A6684277D666http://northropgrumman-veterans.jobs/1A4E36100C844FB29208A6684277D66623LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a well-qualified Senior Lead Linux Systems Administrator and subject matter expert to join its dynamic team of technical professionals in the Linthicum, MD area.
The selected candidate will be required to work on-site, full-time, with time split between our Linthicum, MD and Annapolis Junction, MD campuses. Roles and responsibilities include but not limited to:
+ Perform as consulting Senior Lead Linux Administrator and subject matter expert for a large, classified government contract(s).
+ Obtain and analyze internal and external customer requirements, recommend equipment and software as needed then oversee system implementation and accreditation.
+ Able to communicate effectively with all stakeholders in written and oral formats.
+ Ensure all aspects of large IT projects are completed independently or assigned to others as necessary.Track project status and support as needed.
+ Implement system enhancements that will improve the performance, reliability and security of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Develop and maintain technical processes and procedures as needed.
+ Travel as needed.
+ Lift equipment weighing up to 40 pounds.
+ Work after hours and weekends as needed.
**Basic Qualifications:**
+ Associate's degree with 16 years of experience, or a Bachelor's degree with 14 years of experience, or a Master's degree with 12 years of experience, or a PhD with 9 years of experience; a High School diploma or equivalent with 18 years of experience may be considered in lieu of a completed degree.
+ Experience operating under and managing systems within current applicable information systems compliance standards.
Technical abilities:
+ Experience designing, implementing and maintaining Classified Windows Domains.
+ Experience with VMWare and VDI implementation, migration and maintenance
+ Experience with NetApp and/or Nutanix implementation, migration and maintenance
+ Experience with system design and architecture
Clearance and access requirements:
+ Candidates must have a current DoD Top Secret/SCI level security clearance with a polygraph (at a minimum), to include a closed investigation date completed within the last 6 years, or must be enrolled in the DoD Continuous Evaluation Program (CEP), in order to be considered; the required security clearance must be maintained as a condition of continued employment.
+ Must agree to submit and successfully complete a polygraph reexamination as a condition to retain access to special program(s).
+ Must have the ability to obtain and maintain access to program as a condition of continued employment.
Non-Technical abilities and certification requirements :
+ Current IAT Level II certification (ie. Security+, CASP, CISSP, or similar DOD 8570 security certification) or greater; certification must be maintained as a condition of continued employment.
+ Experience leading a technical team of IT professionals.
+ Experience mentoring junior level IT professionals.
+ Develop and administer IT Training programs.
+ Experience giving technical presentation to technical and non-technical attendees including executive leadership.
+ Experience providing surge support to other programs as needed
+ Active vendor-based IT certifications.
**Preferred Qualifications:**
+ Active VMware certifications.
+ Experience providing Linux support.
**Salary Range:** $143,100 - $224,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10146773MarylandMDStaff Windows Systems Administrator - PolygraphNone1D7040B8795C48F5A9B625AA5A4994A8http://northropgrumman-veterans.jobs/1D7040B8795C48F5A9B625AA5A4994A823ClearfieldNorthrop GrummanUnited StatesUSA2024-03-27 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
NG- Military Internship Program Description:
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29 .The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members.
Responsibilities for this internship position are:
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for SkillBridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
Goals - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
Objectives - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
Outcome - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
DoD SkillBridge Eligibility:
* Has served at least 180 days on active duty
* Is within 12 months of separation or retirement
* Will receive an honorable discharge
* Has taken any service TAPS/TGPS
* Has attended or participated in an ethics brief within the last 12 months
* Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
**Job Description**
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Are you ready to put your experience to work at Northrop Grumman? If so, our Aeronautics Systems sector is currently seeking Composite Bonder Technicians based in Clearfield, Utah. This role is for a hands-on person with great attention to detail, top focus on quality and excellent people skills
**Essential Functions:**
+ Read and interpret blueprints.
+ Lay out articles, cut parts or materials to size and apply liquid or solid bonding agents.
+ Understanding of ply orientations and vacuum bagging processes
+ Operate autoclave, hydraulic presses, and ovens to complete bonding cycle.
+ Control conditions of heat, pressure, and timing by setting and operating various controls according to the specifications for the materials and the bonding agent being used.
+ Sands, burrs and smooth over areas, applying sealing resins to cut or exposed edges.
+ Monitors and verifies quality of finished product. Completes repairs on composite, metal or laminate bonded products using inspection and rework instructions.
**Basic Qualifications: Composites 2**
+ High School diploma or GED
+ Minimum of 3 years of composites experience in an aerospace, military, manufacturing and/or maintenance environment.
+ **DoD Secret Security Clearance and special program access required to start, but not required to apply**
+ Must be able to perform moderate strenuous physical and repetitious work to include: bending, stooping, kneeling, lifting up to 25 pounds, and working overhead.
+ Must be able to access small confined spaces and work in an enclosed environment.
+ **Ability to work flexible, changing shifts, including weekends**
+ Ability to pass medical/respiratory evaluation in order to obtain and maintain necessary respirator clearance and compliance with all respirator fitting requirements.
+ Must be able to pass a New Hire Physical prior to start.
**Basic Qualifications: Composites 3**
+ High School diploma or GED
+ Minimum of 5 years of composites experience in an aerospace, military, manufacturing and/or maintenance environment.
+ **DoD Secret Security Clearance and special program access required to start, but not required to apply**
+ Must be able to perform moderate strenuous physical and repetitious work to include: bending, stooping, kneeling, lifting up to 25 pounds, and working overhead.
+ Must be able to access small confined spaces and work in an enclosed environment.
+ **Ability to work flexible, changing shifts, including weekends**
+ Ability to pass medical/respiratory evaluation in order to obtain and maintain necessary respirator clearance and compliance with all respirator fitting requirements.
+ Must be able to pass a New Hire Physical prior to start.
**Preferred Qualifications:**
+ Completion of A&P certificate program.
Experience with structural subassemblies such as rudders, flaps and stabilizers.
**Salary Range:** $42,000 - $70,000
**Salary Range 2:** $49,900 - $83,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10145162UtahUTNorthrop Grumman (DoD SkillBridge) Clearfield UT Composite Bonder Technician 2/3None292D58390DA448DBA7158364632734E6http://northropgrumman-veterans.jobs/292D58390DA448DBA7158364632734E623MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Job Description
Northrop Grumman is one of the industry leaders in Stealth Technology. As such, we are involved in all phases of development, production, and maintenance of Low Observable (LO) platforms. We are currently looking for Low Observable / Radar Cross Section (RCS) /Survivability Engineers to work on exciting and challenging projects.
**We are looking Survivability Engineers with experience in at least one of the following skill areas:**
+ **Design Integration**
+ **Antenna Integration**
+ **Proposal Writing and Estimating**
+ **Infrared, Visual and Acoustics**
+ **Specialty Materials**
**The Survivability core competencies:**
+ Develop and integrate advanced technology to reduce the detectability of aircraft in the electromagnetic, infrared, visual and acoustic spectrums
+ Develop and implement predictive analysis codes; build and test physical models; design, develop and build test and verification equipment; and develop, test and integrate specialty materials
+ Works closely with engineers of other disciplines to optimize the integration of this technology on existing and future aircraft.
+ Perform analysis on Low Observable data to identify component and system performance trends, identify component defects and identify potential areas for improvement.
+ Coordinate with other Integrated Product Team (IPT) members and suppliers to convey and achieve Survivability requirements arriving at a balanced design and exceeding customer requirements.
+ Thrive in a fast-paced work environment with high expectations, significantly diverse assignments, and collaborative/team settings across all levels.
**Basic Qualifications:**
+ **Specific Survivability Experience in at least one the following areas**
+ **Design Integration**
+ **Antenna Integration**
+ **Proposal Writing and Estimating**
+ **Infrared, Visual and Acoustics**
+ **Specialty Materials**
+ A current active U.S. Government in-scope DoD Secret security clearance is required to start, with the ability to obtain and maintain Special Program Access (PAR/SAP).
+ **Educational/ Experience Requirements:**
+ **Staff Survivability Engineer:** Bachelor's degree in a STEM discipline AND 16 years of related professional/military engineering experience, OR a Master's degree AND 14 years of related professional/military engineering experience OR a PhD degree and 12 years of related professional/military engineering experience.
**Salary Range:** $154,300 - $231,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10106532FloridaFLSenior Staff Survivability Architect (Level 6)None467D5F9409494EC486789ECE9227FD63http://northropgrumman-veterans.jobs/467D5F9409494EC486789ECE9227FD6323Wright Patterson AFBNorthrop GrummanUnited StatesUSA2024-03-27 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is seeking a Linux Systems Administrator to maintain and enhance Linux Systems and Infrastructure in support of the National Air and Space Intelligence Center (NASIC) at Wright Patterson Air Force Base. NASIC is responsible for creating all-source intelligence defining the present and future air, air defense, cyber, space and ballistic missile threats to enable military operations, force modernization, and policy making.
**Summary of Responsibility**
You will be an active part of a team of System, Network, and Storage Administrators who collaborate to support a large development infrastructure that replicates a wide variety of customer environments to include Linux and Windows. The team manages the hardware, software, network, security, and end user support for a wide range of tools and infrastructure. This environment includes ever growing opportunities with modernization such as Cloud technologies and DevOps CI/CD pipelines. You will be primarily focused on Linux Systems Administration and be prepared for supporting a production environment on site at NASIC/WPAFB once properly cleared to support mission critical needs.
**Basic Qualifications for a Systems Administrator:**
+ Bachelor's degree in a Science, Technology, Engineering or Math discipline with 3 years of experience OR Master's degree with 1 year of experience OR a high school diploma or GED equivalent with 7 years of experience
+ Minimum of three years of experience with Systems Administration for Linux Operating Systems such as RedHat Enterprise Linux (RHEL), CentOS, or Rocky Linux.
+ Able to obtain IAT Level II certification such as CASP+ or Security+ within 90 days
+ U.S. citizenship with an active TS/SCI clearance
**Basic Qualifications for a Principal Systems Administrator:**
+ Bachelor's degree in a Science, Technology, Engineering or Math discipline with 6 years of experience OR Master's degree with 4 years of experience OR a high school diploma or GED equivalent with 10 years of experience
+ Minimum of three years of experience with Systems Administration for Linux Operating Systems such as RedHat Enterprise Linux (RHEL), CentOS, or Rocky Linux.
+ Able to obtain IAT Level II certification such as CASP+ or Security+ within 90 days
+ U.S. citizenship with an active TS/SCI clearance
**Preferred Qualifications:**
+ Experience with DevOps architecture such as Kubernetes, Nexus, Harbor, Docker, GitLab, etc.
+ Experience with security compliance and related security scanning products.
+ Experience in enterprise backup and restore solutions, storage area network (SAN), and network attached storage (NAS)
+ Experience with scripting using tools such as Python, Perl, Bash, PowerShell, etc.
+ Experience with network administration and related infrastructure
+ Experience with hardware installation and maintenance to include firmware upgrades
+ Candidate must demonstrate strong troubleshooting and problem-solving skills
**This position description does not represent a current opening but may be used to identify candidates with skills and experience for positions within Northrop Grumman that frequently become available. Candidates who express an interest may be considered for future positions at Northrop Grumman.**
**Salary Range:** $72,100 - $108,100
**Salary Range 2:** $88,600 - $133,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Wright Patterson Afb, OHR10144029OhioOHLinux Systems Administrator / Principal Linux Systems AdministratorNone7304D6F06E4D47D08BF9A51727CE96CChttp://northropgrumman-veterans.jobs/7304D6F06E4D47D08BF9A51727CE96CC23BethpageNorthrop GrummanUnited StatesUSA2024-03-27 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The **Structural Design Engineer** will demonstrate the application of basic design principles and concepts as they relate to aircraft structural design. The candidate will demonstrate the ability to develop solutions to routine technical problems and contribute to the completion of routine technical tasks with moderate supervision. The candidate should be proficient in 3-D modeling techniques to create basic airframe layouts and accomplish drawing changes in support of new and existing designs.
This engineer will create designs for primary and secondary aircraft structural components, assemblies and installations. Candidate shall establish overall configuration based on requirements as defined by the customer and shall be able to design the structural components required to meet design specification's intent. Candidate shall be a mechanical engineering professional experienced in structural design, manufacturing, materials and processes, handling, and creation of designs/drawings per DoD100, configuration management, BOM management, fastener selection, materials selection and finish requirements for a military aircraft environment. Knowledge of composite parts design is a plus. The candidate shall be well versed in 3D CAD tools such as NX. Candidate shall be familiar with manufacturing methods, source and evaluate manufacturing and interface with manufacturing to support production of detail parts through to major assemblies and installation onboard the aircraft. Candidate shall be able to work design issues both independently and as part of a large multi-disciplined team.
**Additional Requirements**
+ Designs major components or major portions of a functional system to develop or improve products and facilitate manufacturing operations.
+ Develops basic data and makes preliminary layouts, sketches, and notes necessary to present design proposal.
+ Investigates pertinent design factors such as ease of manufacture, availability of materials and equipment, interchangeability, replaceability, strength-weight efficiency, and contractual specification requirements and cost.
+ Coordinates with other organizations affected by design development.
+ Creates layouts of complex assemblies and details parts of devices, mechanisms, and structures.
+ Checks completed layouts and drawings for clarity, completeness, conformity to standards, procedures, specifications, and accuracy of calculations and dimensioning.
+ Identifies design errors, omissions, and other deficiencies, and recommends revisions and/or improvements in design layout to responsible engineers or designers.
**Basic Qualifications Principal Structural Design Engineer:**
+ Bachelors in Mechanical, Aerospace Engineering, or a STEM discipline AND 5 years of engineering experience OR Master's degree in a STEM discipline AND 3 years of engineering experience, OR PhD in STEM discipline AND 0 years or engineering experience.
+ Design of primary and secondary aircraft structural parts/components, assemblies and installations.
+ This position is contingent upon the ability to obtain/maintain DoD Secret Clearance, and Contract Award.
**Basic Qualifications Sr. Principal Structural Design Engineer:**
+ Bachelors in Mechanical, Aerospace Engineering, or a STEM discipline AND 9 years of engineering experience, OR Master's degree in a STEM discipline AND 7 years of engineering experience, OR PhD in STEM discipline AND 4 years or engineering experience.
+ Design of primary and secondary aircraft structural parts/components, assemblies, and installations.
+ Candidate will be proficient in configuration management, BOM management, materials selection and finish requirements as they pertain to military aircraft
+ This position is contingent upon the ability to obtain/maintain DoD Secret Clearance, and Contract Award.
**Preferred Qualifications**
+ Knowledge of NX solid modeling software and Teamcenter data management software is desirable but not required.
+ Active Secret clearance
**Salary Range:** $97,500 - $146,300
**Salary Range 2:** $120,900 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Bethpage, NYR10139397New YorkNYPrincipal Structural Design Engineer/ Sr. Principal Structural Design EngineerNone761CDB4E65E6421F8D5B921A4EFF8686http://northropgrumman-veterans.jobs/761CDB4E65E6421F8D5B921A4EFF868623Annapolis JunctionNorthrop GrummanUnited StatesUSA2024-03-27 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a well-qualified Windows Systems Administrator to join its dynamic team of technical professionals in the Linthicum, MD area.
This is an on-site position with time split between our Linthicum, MD and Annapolis Junction, MD campuses; please note that this is not a remote work opportunity. Job responsibilities will include, but not be limited to, the following:
+ Perform as primary Windows System Administrator for a large, classified government contract.
+ Communicate effectively at all levels of the organization with internal and external customers, in written and verbal format.
+ Maintain efficient operations of the entire IT infrastructure including servers, desktop, and networking equipment.
+ Support multiple networks and ensure necessary IT administration tasks are completed independently as necessary.
+ Analyze internal and external customer requirements and recommend equipment and software requirements for solutions to problems by means of automated systems.
+ Recommend and implement system enhancements that will improve the performance and reliability of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Provide backup and recovery services, manage file systems and disk space, and manage virus protection on a routine basis as directed by policy.
+ Create and maintain user and computer accounts and modify file permissions as directed by policy.
+ Test new releases of products to ensure compatibility and minimize user impact.
+ Develop and maintain technical processes and procedures as needed.
+ Maintain security audit and logging information on all classified networked and standalone computers as directed by the Cyber Security team.
+ Report project status as required for all recurring and non-recurring efforts.
+ Travel as needed.
+ Lift equipment weighing up to 40 pounds.
+ Work after hours and weekends as needed.
**Basic Qualifications:**
+ Associate's degree with 7 years of experience OR a Bachelor's degree with 5 years of experience OR a Master's degree with 3 years of experience; a High School diploma or equivalent with 9 years of experience may be considered in lieu of a completed degree.
+ Experience operating under and managing systems within current applicable information systems compliance standards.
Technical Requirements:
+ Experience maintaining Classified Windows Domains in effective operations to include a w orking knowledge of: Active Directory (AD) maintenance and support; Group Policy (GPO) creation and deployment ; DNS management; DHCP and scopes; Windows Server Update Servers (WSUS), SCCM or similar update service; and s upporting golden image deployment and underlying infrastructure.
+ Experience working with vulnerability scanning tools.
+ Experience with remediating vulnerabilities.
+ Desktop support and maintenance experience.
Clearance and Access Requirements:
+ Candidates must have a current DoD Top Secret/SCI level security clearance with a polygraph (at a minimum), to include a closed investigation date completed within the last 6 years, or must be enrolled in the DoD Continuous Evaluation Program (CEP), in order to be considered; the required security clearance must be maintained as a condition of continued employment.
+ The selected candidate must agree to submit and successfully complete a polygraph reexamination as a condition to retain access to special program(s), and also as a condition of continued employment.
+ Must have the ability to obtain and maintain access to program as a condition of continued employment.
Non-Technical Abilities and Certification Requirements:
+ Current IAT Level II certification (ie. Security+, CASP, CISSP, or similar DoD 8570 security certification) or greater; certification must be maintained as a condition of continued employment.
**Preferred Qualifications:**
+ Experience giving technical presentation to technical and non-technical attendees.
+ Experience providing surge support to other programs as needed.
+ Active vendor-based IT certification.
+ Knowledge of Linux OS.
**Salary Range:** $93,000 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Annapolis Junction, MDR10147218MarylandMDPrincipal Windows Systems Administrator - PolygraphNone7F8395E1BC544A178C2D5D3E7D6F4009http://northropgrumman-veterans.jobs/7F8395E1BC544A178C2D5D3E7D6F400923IukaNorthrop GrummanUnited StatesUSA2024-03-27 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Classified Solutions is seeking a well-qualified Windows Administrator to join its dynamic team of technical professionals in Iuka, Mississippi.
The selected candidate will be required to work on-site, full-time at our Iuka, MS campus. The individual will perform the following duties on a day-to-day basis in support of the program:
+ Perform as primary Windows System Administrator for a large classified government contract.
+ Able to communicate effectively at all levels of the organization with internal or external customers, in written and oral format.
+ Maintain efficient operations of the entire IT infrastructure including servers, desktop and networking equipment.
+ Able to support multiple networks. Ensure necessary IT administration tasks are completed independently as necessary.
+ Analyze internal or external customer requirements and recommend equipment and software requirements for solutions to problems by means of automated systems.
+ Recommend and implement system enhancements that will improve the performance and reliability of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Provide backup and recovery services; manage file systems and disk space; manage virus protection on a routine basis as directed by policy.
+ Create and maintain user and computer accounts and modify file permissions as directed by policy.
+ Test new releases of products to ensure compatibility and minimize user and impact.
+ Develop and maintain technical processes and procedures as needed.
+ Maintain security audit and logging information on all classified networked and standalone computers as directed by the Cyber Security team
+ Report project status as required for all recurring and non-recurring efforts.
+ Travel as needed.
+ Lift equipment weighing up to 40 pounds.
+ Work after hours and weekends as needed.
Note: Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
**Basic Qualifications:**
+ Associate's degree with 7 years of experience, or a Bachelor's degree with 5 years of experience, or a Master's degree with 3 years of experience; a High School diploma or equivalent with 9 years of experience may be considered in lieu of a completed degree.
+ Active DoD Secret clearance with ability to obtain and maintain a DoD Top Secret clearance as a condition of continued employment.
+ Ability to obtain and maintain access to **Special Programs** as a condition of continued employment.
+ Current IAT Level II certification (ie. Security+CE) or greater.
+ Experience managing Windows Server OS and domain architecture.
+ Experience operating under and managing systems within current applicable information systems compliance standards.
**Preferred Qualifications:**
+ Bachelor's or Master's degree in IS related field
+ DoD Top Secret clearance
+ Experience giving technical presentation to technical and non-technical attendees
+ Experience providing surge support to other programs as needed
+ Active vendor-based IT certification
ESCSO
ESWindowsAdmin
\#LI-COR
**Salary Range:** $79,800 - $119,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Iuka, MSR10153932MississippiMSPrincipal Windows Systems Administrator - SecretNoneAD54F059B48C40E984FDAAD24A117EF2http://northropgrumman-veterans.jobs/AD54F059B48C40E984FDAAD24A117EF223Fort LeavenworthNorthrop GrummanUnited StatesUSA2024-03-27 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems sector (NGDS) is seeking a **Principal Military Trainer - Operations Intelligence Integration Scenario Writer (OIISW)** with a Human Intelligence or All-Source Intelligence background to join our growing team of diverse professionals supporting our Mission Command Training Program (MCTP) at **Fort Leavenworth,** in **Kansas** . The MCTP trains Brigade, Division, and Corps Commanders and their staffs during simulation-driven command post Warfighter Exercises (WFX) in support of Large-Scale Combat Operations and across Multi-Domain Operations.
**Responsibilities:**
+ Writes scenarios for Intelligence training simulation products
+ Assists training deployable military commanders and others to ensure they are capable of effectively managing Intelligence writing, Intelligence planning, and Intelligence execution
+ Provides scenario products in the areas of intelligence integration operations to assist in replicating a realistic Operational Environment (OE) and to allow units to meet their training objectives
+ Be the point for Human Intelligence and Counter-Intelligence products, as well as development of all-source products to provide to training units
+ Assists the S2 / Intelligence Coordination Division (ICD), and other staff sections in providing fused products to influence the commander's decision-making process during decisive actions
**Basic Qualifications:**
+ One of the following:
+ High school diploma or GED with a minimum of 10 years of professional leadership experience
+ Bachelor's degree with a minimum of 6 years of professional leadership experience
+ Master's degree with a minimum of 4 years of professional leadership experience
+ Familiar with current Army/Joint doctrine
+ Highly proficient with MS Office suite of software (PowerPoint, Word, Excel, Access)
+ Must be able to travel as needed both CONUS and OCONUS (up to 25% of the time)
+ Must have an active U.S. Department of Defense (DoD) Secret security clearance
+ U.S. military service as a Non-Commissioned, Senior Non-Commissioned, Chief Warrant Officer or Commissioned Officer with a minimum of 5 years of experience in any of the Following: Human Intelligence (HUMINT), All-Source, or Counterintelligence (CI)
+ Must be able to work on-site at Fort Leavenworth in Kansas
**Preferred Qualifications:**
+ Recent experience in a deployed environment
+ Army MOS: 35M, 35D, 35F, 35L, 18F or a similar Military branch equivalent
+ Background in U.S. Army Intelligence programs of record (DCGS-A, HOT-R, etc.)
+ Battalion/Brigade or higher staff officer experience
+ DoD Top Secret (TS) clearance based on Single Scope Background Investigation (SSBI) for Top Secret / Sensitive Compartmented Information (TS/SCI)
**Salary Range:** $69,600 - $104,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fort Leavenworth, KSR10142905KansasKSPrincipal Military Trainer Human IntelligenceNoneBAD99F9FBB2A4794B77527270417397Bhttp://northropgrumman-veterans.jobs/BAD99F9FBB2A4794B77527270417397B23LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The **Payload and Ground Systems** organization within the **Northrop Grumman Space Systems** pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
The **Payload and Ground Systems Division** within **Northrop Grumman Space Systems** is a strategic partner specializing in delivering intelligence, surveillance, and reconnaissance solutions for classified and unclassified missions in space. Headquartered in Linthicum, Maryland, PGS RSP has offices, manufacturing, and world-class assembly, integration, and test facilities. We do it all here, on-site, from sensors to payloads to full up spacecraft, supporting Class A to Class D missions. Our key capabilities include Active RF, Passive RF, and Electro-Optical payloads, space solar power, cross domain missions, and space resilience payloads & solutions.
This position is for a **Systems Engineer** to join our **Remote Sensing Programs Systems Engineering, Integration and Test (RSP SEIT) team.** As a member of this team, you will have the opportunity to support efforts on both concept and product development to deliver key solutions to our customers. We cover a wide variety expertise within the systems engineering domain. This includes mission engineering, requirements, architecture, modeling and simulation, integration and test, verification and validation, and many more!
The position will be responsible for supporting and leading systems engineering tasks for space subsystems, payloads and/or vehicles. These may include, but are not limited to:
+ Requirements analysis, derivation, and management
+ Mission Engineering, modeling, and analysis
+ Architecture and CONOPs development
+ Systems modeling and simulation
+ Functional analysis
+ Timeline analysis
+ Trade studies
+ Technical planning
+ Cost and risk analysis
+ Interface definition
+ System design
+ System integration and test
+ Verification and Validation planning and execution
+ Supportability and effectiveness analyses for total systems.
+ Some tools you could expect to use are: DOORS, STK, Cameo, Rhapsody, MATLAB, python
**Basic Qualifications:**
+ Bachelor of Science Degree or higher in Electrical Engineering, Computer Engineering, Systems Engineering, Software Engineering, or other related STEM (Science, Technology, Engineering, or Math) curriculum with 2 years relevant experience; 0 years with Master of Science Degree
+ Active TS/SCI is required to be considered.
+ Systems engineering experience (i.e. requirements, mission engineering, architecture, modeling and simulation, integration and test, verification and validation)
**Preferred Qualifications:**
+ Space experience
+ Sensor/payload experience:
+ Antennas
+ Radar
+ EO/IR
+ Communications
+ Processing, specifically On-Board Processing
+ Space vehicle experience
+ Space ground systems architecture and design experience
+ Mission engineering, modeling and simulation
+ Space Mission CONOPS
+ Assembly, Integration and Test (AI&T)
**Salary Range:** $83,300 - $124,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10145290MarylandMDSpace Payload Systems EngineerNoneBF5F21AB46BE472FBDC308E57A2AB9B0http://northropgrumman-veterans.jobs/BF5F21AB46BE472FBDC308E57A2AB9B023PalmdaleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems sector has an opening for a Production Test Engineering Manager 2 to join our team of qualified, diverse individuals within our Test and Evaluation organization. This leadership role is located in Palmdale, CA.**
Responsibilities include & are not limited to:
+ Lead a team of 10-20 production test engineers.
+ Cross IPT coordination with many supporting organizations.
+ Technical experience to lead their team to perform, solve complex issues, and knock down obstacles to allow their team to execute efficiently.
+ Ensure that the team is developing and conducting safe and effective test procedures.
+ Leading a team in the development and refinement of acceptance test procedures.
+ Participate in and/or chair Post Test Data reviews.
In this role, the manager will be responsible for program execution as well as people leadership. The candidate should have a desire to lead and value people. They must possess the "soft skills" necessary for personnel leadership and be ready and willing to continue refining and learning these skills. Team development and coaching is key to being a successful manager at Northrop Grumman.
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement and respond vigorously to change.
**The selected Candidate must be willing to work various shifts depending on the business needs: 4x10 schedule (Mon-Thurs) 1st shift; 4x10 (Mon-Thurs) 2nd shift; 3x10 schedule (Fri, Sat, Sun) 1st shift; 3x10 schedule Fri, Sat, Sun) 2nd shift.**
**Basic Qualifications:**
+ Must have a Bachelor of Science Degree in a STEM discipline AND 9 years of related professional/military experience in Engineering, OR 7 a Master's Degree in a STEM discipline AND 9 years of related professional/military experience in Engineering
+ Must have experience in a systems test environment
+ Must have an Active DoD Secret clearance with full investigation within the last 6 years
+ Must have ability to obtain and maintain Program Access (PAR) within a reasonable period, as determined by the company to meet its business needs.
**Preferred Qualifications** :
+ Significant experience in a production test environment
+ Experience leading teams
+ Strong ability to communicate complex technical issues and solutions
+ Must have experience with Earned Value Management (EVM)
+ Experience in aircraft Maintenance Management
**Salary Range:** $138,100 - $207,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10139418CaliforniaCAProduction Test Engineering Manager 2NoneD91B3505E514455BABAE9EB9B58E1FC9http://northropgrumman-veterans.jobs/D91B3505E514455BABAE9EB9B58E1FC923Colorado SpringsNorthrop GrummanUnited StatesUSA2024-03-27 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Responsibilities will include but not be limited to:**
• Supports on-orbit RPO operations through planning, execution and debrief phases
• Provides Space Operations expertise to ensure spacecraft/payload and ground system health, status, anomaly troubleshooting, and resolution
• Creates initial and post maneuver orbit determination
• Executes Kalman Filter monitoring/analysis and manipulation
• Development and improvement of operations procedures or processes
• Development and improvement of operational scripts, including unit testing and system testing
• Investigation and diagnosis of unexpected system signatures
• Supporting the coordination and execution of system maintenance tasks and coordinating across multiple contractor organizations including customer and external system partners to diligently accomplish all tasks
• Perform demonstrations and conduct briefings explaining how to utilize mission systems to accomplish task-based objectives
• Performing quantitative risk analysis
• Develops RPO Tactics, Techniques and Procedures to support the
• Performs Space Domain Awareness (SDA) planning and analysis
• Providing 24x7on-call support rendezvous and proximity operations (RPO) missions and the resolution of system issues and anomalies.
Candidate must be self-motivated, able to work in a dynamic team environment, and work under general direction while independently determining and developing approaches to solutions.
This position can be filled as a Principal (level 3) or Sr Principal (level 4) depending on experience and qualifications.
**Basic Qualifications:**
+ Bachelor's degree in Physics or Engineering or related STEM field
+ Minimum of 5 years of experience (level 3) or minimum of 9 years' experience (level 4) in a related engineering field such as mechanical, electrical, aerospace and/or operations
+ U.S. citizen
+ Active DoD Top Secret clearance, SCI eligible required
+ Strong technical background in orbital mechanics/astrodynamics
+ Knowledge and experience in satellite operations engineering disciplines to include attitude control, power management, thermal control, propulsion, command and data handling, flight and ground software, and anomaly determination and resolution
+ Ability to conduct a range of modeling, simulation and analysis in orbital maneuvers, orbit estimation
+ Expertise in the following technical disciplines: orbital propagation and perturbations, orbit estimation, orbital maneuvers, modeling and simulation and analysis
+ Familiar with a variety of astrodynamics tools (FreeFlyer, System Tool Kit (STK), NASA General Mission Analysis Tool (GMAT), Orbit Determination Toolbox (ODTBX))
+ Experience with developing and presenting briefing slides to senior leaders and executive level personnel
+ Ability to work odd and/or extended hours covering a 24/7 period and periodic rotating shifts
+ Be able to make decisions under high pressure environments and maintain composure in stressful situations
+ Ability to troubleshoot and correct problems in a dynamic environment
+ Ability to work shifts*
**Preferred Qualifications:**
+ Ability to develop and maintain operational procedures, products, and training materials
+ Previous experience with troubleshooting, anomaly resolution and performing analysis on operational systems
+ Support mission simulation, scripting, and verification activities.
+ Proficiency with one or more of the following programming languages/tools: MATLAB, Python, SIMULINK, Fortran, Java, C/ C++ Have an extensive comprehension of different astrodynamics coordinate frames such as ECI and RIC
+ Experience with optimization techniques and their application to trajectory optimization and/or multi-objective optimization
+ Prior aerospace-related work experience for a U.S Government Agency or Contractor
+ Background/fundamental understand of performing statistical analysis
+ Active TS/SCI clearance
***This position will require shift work including swing or night shift, and/or 12-hr shifts**
**Salary Range:** $97,000 - $136,000
**Salary Range 2:** $121,000 - $161,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Colorado Springs, COR10144777ColoradoCOOrbital Analyst (Satellite Systems Engineer)-Top Secret Clearance (Space/Satellite Systems)NoneDFFA2626F5D54C0686D6F627E811ED45http://northropgrumman-veterans.jobs/DFFA2626F5D54C0686D6F627E811ED4523New MaldenNorthrop GrummanUnited KingdomGBR2024-03-27 06:15:42**Your Opportunity to Define Possible** **.** **Our Opportunity t** **o Deliver the Nation's Security** **. Together.**
**Salary:** £50,000 - £72,000
**Role** **C** **learance Type:** **You must be able to gain and maintain the relevant UK Government clearance in line with the job role (SC)**
**Location:** Burlington House, New Malden, Kingston-Upon-Thames, London, UK.
**About Your Opportunity:**
**A key member of the Systems Engineering Team, this role will lead and take ownership of various aspects of large** **and strategically important UK Defence, Cyber and Intelligence Programmes.**
The role provides customers with timely delivery, within budget and drives the ongoing development and growth of Systems Engineering within NGUK. Opportunity to impact and shape the development of existing and future systems that support major UK defence assets.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible and hybrid working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme -** exceptional performance is recognized through our annual incentive programme which is awarded to top performers who excel
+ **Career Development -** opportunity for ongoing professional development and career growth opportunities
**Your** **Responsibilities:**
+ Drive SE process on programmes
+ Successfully deliver key milestone design reviews
+ Customer relationship development
+ Lead integration of other engineering disciplines
+ Technical management of suppliers
**Your Experience:**
+ Proven experience, with Extensive Systems Engineering models and techniques knowledge
+ Degree qualified in relevant engineering subject
+ Exceptional people and communication skills
+ External customer/supplier relationship management experience
+ Aptitude for people leadership and team management
**Your Future Team:**
"Delivering a reliable and real-world Systems Engineering process into some of the UKs most exciting engineering programmes. I lead a diverse team of experienced Systems Engineers, striving to deliver strong technical leadership on some of the UKs largest engineering challenges"
**Steve Mays Engineering Authority @ Northrop Grumman**
We are highly skilled and diverse with a huge range of process and technical knowledge. Our matrix structure promotes collaboration both within the Systems Engineering team as well as across all the engineering disciplines and programmes. We are passionate about solving the UKs most pressing technical challenges and are proud to deliver quality systems to our customers.
We believe that creating a team that values diversity and fosters inclusion is essential to great performance and we are proud to deliver quality systems to our customers.
Diversity is at the heart of our success. Our team share experience, knowledge and new thinking gained from a wide range of backgrounds perspective, culture, gender, race, age and many other elements across several industries. We welcome candidates from all backgrounds and particularly from communities currently under-represented within our industry . We treat everyone with respect and foster safe and inclusive environments.
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And w ithin a respectful and inspirational environment, we value what you say and do.
**How to Apply:**
**Interested in our opportunity?**
**Yes** - then simply submit your application online. Your application will be reviewed by one of our expert recruiters who'll then respond advising you of the outcome and next steps for successful candidates.
**Possibly, I'd like to find out more** - then connect direct to TalentEnquiries@uk.ngc.com, and we will be happy to support you with any enquires.
Background checks and potentially security clearance form part of the recruitment process, our team will inform you of the procedures when required.
**Northrop Grumman UK:**
Work with a global brand that makes a real contribution to our nation's security and future. At Northrop Grumman UK, the brightest minds come together to push the boundaries and Define Possible. As leaders in the digital transformation of Aerospace, Defence and Intelligence we are providing ground-breaking outcomes for our customers.
**UK Defence Business:**
Our UK Defence business is a Sovereign software and systems centre of excellence. As well as developing and supporting UK wide and internationally deployed multi-domain command and control systems, our work is critical to the modern backbone of the Royal Navy. We pioneer - with fierce curiosity, dedication, and innovation, we seek to solve the world's most challenging problems.
**Find out more** : https://www.northropgrumman.com/careers/job-search-united-kingdom/
\#LI-TP1
+ \#LI-Hybrid
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.New Malden, GBRR10116686Principal Systems EngineerNoneEFCEF79C410F42078831D9111B10725Chttp://northropgrumman-veterans.jobs/EFCEF79C410F42078831D9111B10725C23Rolling MeadowsNorthrop GrummanUnited StatesUSA2024-03-27 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**NG- Military Internship Program Description:**
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military Internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members **.**
**Responsibilities for this internship position are:**
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for SkillBridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
+ **Goals** - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
+ **Objectives** - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
+ **Outcome** - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
+ Has served at least 180 days on active duty
+ Is within 12 months of separation or retirement
+ Will receive an honorable discharge
+ Has taken any service TAPS/TGPS
+ Has attended or participated in an ethics brief within the last 12 months
+ Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
**Job Description:**
**Northrop Grumman Enterprise Services** is seeking a well-qualified **PC - Network Support Technician** to join our team of qualified, diverse, and dynamic team of technical professionals. This position will be located in **Chicago, IL** .
**Responsibilities include, but not limited to the following:**
+ Provides technical support for computers and associated networks. Installs, troubleshoots, services, and repairs personal computers, related PC software, telephones, cables, and connectors. Connects personal computers and terminals to existing data networks. Performs basic PC setups
+ Instruct and assist users in the use of personal computers and networks. Investigates information, network, and communications needs of users. Maintains trouble logs.
+ Complete paperwork and other tasks needed to satisfy Security requirements. Follows all Security regulations, guidelines, and processes regarding the installation, maintenance, and retirement of PCs and PC-related hardware and software. Works with Security to remediate classified data spillage incidents.
+ Coordinate with users, program staff, server administrators, network engineers, and customer and IT management.
+ Review the trouble ticket queue to identify issues that need to be addressed. Works through the ticket queue without intervening management direction (self-motivated). Resolves tickets within established SLAs. Raises issues that require management attention or involvement.
+ Establish and maintain high levels of customer satisfaction according to defined objectives while adhering to established policies.
+ Work with system administrators and other staff to resolve support issues, escalating where appropriate.
+ Participate in new installations, testing and special projects as needed.
+ Spend significant portions of the day away from the desk supporting users and moving equipment.
+ Exhibit good communication skills, an energetic approach, and patience with customers.
+ Lift equipment weighing up to 40 pounds.
+ Work after hours and weekends as needed.
The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, and collaborative/team settings across all levels.
**Basic Qualifications:**
+ High School diploma (or equivalent) and 4 years experience OR Associate's degree and 2 years of experience.
+ Must have IAM Level I certification (ex: Security+ CE) or greater or ability to obtain with 6 months of start date
+ Must have experience with Microsoft products such as Windows Desktop OS, Office Product Suite.
+ Must have an Active, In-Scope DoD Secret Security Clearance or higher that has been granted / renewed within the past 6 years.
+ Must have the ability to be cleared to special access programs
**Preferred Qualifications:**
+ Associate's degree in a related discipline
+ Proficiency in remote administration and troubleshooting of desktop PCs.
+ Active Top Secret clearance.
+ CompTIA Security + Certification.
ESCSO
ESMilIntern
**Salary Range:** $45,400 - $75,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Rolling Meadows, ILR10139266IllinoisILNorthrop Grumman (DoD SkillBridge) - PC Network Support Technician 2NoneF68DAE55B1244BE8BA9FB8FF0B458A6Ehttp://northropgrumman-veterans.jobs/F68DAE55B1244BE8BA9FB8FF0B458A6E23SunnyvaleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:42At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Mission Systems (NGMS)** is looking for you to join our **Manufacturing - Final Assembly** team as a **Final Assembly Supervisor** based in **Sunnyvale, CA** .
**What you'll get to do:**
Do you have what it takes to be a **Leader** and make an impact in National Defense and Sailors' lives? At Sunnyvale campus you will get to work on unique systems that are not made anywhere else in the world. Equipment you produce will keep Sailors safe in places no human is meant to survive and will play a major role into our Nation's military superiority and Global Security. Northrop Grumman Marine Systems specializes in the development, manufacture assembly and testing of propulsion, and power generation systems for naval surface ships and submarines.
As a **Final Assembly Supervisor** you will lead a team of 10-15 mechanics, pipefitters, painters, or electricians directly responsible for the final assembly of Marine Systems products. An important aspect of your role will be to guide and support your team, demonstrate accountability and ownership of results, and ensure that all work is performed in accordance with policies, procedures, and to Northrop Grumman's high standards of Safety, Quality, Delivery, Cost, and Engagement.
As a **People Leader** you'll support your employees by ensuring:
+ Accuracy of personnel's time and pay.
+ Safety of work environment and resolution of employee concerns.
+ Adherence to the collective bargaining agreement.
+ Timely completion of assigned training.
+ Removal of obstacles to performing work efficiently.
+ Maintain a collaborative, engaging, and high-performing team environment.
**About the Company:**
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field or an industry thought-leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
SNYVLCA
MANUMS
\#NGFeaturedJobs
\#LI - AH1
**Basic Qualifications Manager General Manufacturing 1:**
+ Bachelor's Degree with minimum 4+ years of professional/military experience, Master's Degree with 2+ years of professional/military experience, or 8+ years of relevant experience in lieu of a degree.
+ Strong desire to become an effective leader in a manufacturing environment.
+ Ability to work weekends to support operations as needed
+ Must be a US citizen with the ability to obtain a Secret clearance.
+ Effective written and oral communication skills.
**Basic Qualifications Manager General Manufacturing 2:**
+ All above qualifications plus:
+ Bachelor's degree from an accredited college or university with 7+ years of experience; Master's with 5+ years of experience; PhD with 2+ years of experience (4 additional years of experience may be considered in lieu of a degree).
+ S upervisory or team lead experience in an operations environment.
+ Familiarity with Lean Manufacturing tools and techniques.
**Preferred Qualifications:**
+ Experience in Lean Manufacturing tools and techniques.
+ Understanding of SAP.
+ Experience managing union represented workforce.
+ Previous manufacturing experience.
+ Previous military experience
+ STEM Degree
**Salary Range:** $95,000 - $142,600
**Salary Range 2:** $114,100 - $171,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Sunnyvale, CAR10141556CaliforniaCAFinal Assembly SupervisorNone05419605375D46159DCBCE0F0698F258http://northropgrumman-veterans.jobs/05419605375D46159DCBCE0F0698F25823Alice SpringsNorthrop GrummanAustraliaAUS2024-03-27 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Strategic Space Systems Division (SSSD) is an industry-leading provider for launch systems capabilities serving national security, military, civil and commercial customers. We are built on a heritage of providing innovative, affordable and reliable aerospace and defense products that our customers rely on to achieve mission success. Join the Space revolution and make the impossible, possible.
Northrop Grumman, Strategic Space Systems Division, is seeking an **Operations Systems Controller - Systems Engineer 1/2** to work as a member of an Operations and Maintenance (O&M) team member in Alice Springs, Australia.
** **This position can be filled at a level 1 or 2 ****
This role requires a two (2) year commitment and the ability to successfully pass a physical and psychological exam. A comprehensive overseas compensation package is offered with this position including relocation assistance.
**The Operations Engineering team is seeking an engineer who will be responsible for the following:**
+ Monitoring system and subsystem operation and performance and preparing periodic status reports
+ Investigating and responding to all indications of anomalous system performance
+ Assisting in the preparation and review of standard operations procedures and system redundancy management documentation
+ Supporting system reconfiguration and mode changes
+ Supporting special system operations of a non-routine nature
+ Monitoring several systems and implementing procedures required in response to anomalous behavior
+ Monitoring equipment health and status to ensure the highest possible level of mission readiness
+ Continuous core skill improvement training
+ Follow-on advanced training
+ Performing system performance improvement tasks involving scripting and standard operating procedure modifications
+ An initial 2-year tour commitment is required
+ The engineer will be expected to work a non-traditional work week that will include occasional 12 hour shifts and weekends
**Basic Qualifications for level 1:**
+ Bachelor of Science Degree in a STEM discipline (Science, Technology, Engineering, Math) discipline plus 0 years of relevant technical/engineering experience
+ Willingness to complete a two-year overseas tour commitment
+ **Ability to obtain a DoD Top Secret clearance, SCI access and complete a counterintelligence polygraph examination prior to start date**
**Basic Qualifications for level 2:**
+ Bachelor of Science Degree in a STEM discipline (Science, Technology, Engineering, Math) discipline plus 2 years of relevant technical/engineering experience **OR** Master of Science Degree in a STEM discipline (Science, Technology, Engineering, Math) discipline plus 0 years of relevant technical/engineering experience
+ Willingness to complete a two-year overseas tour commitment
+ **Ability to obtain a DoD Top Secret clearance, SCI access and complete a counterintelligence polygraph examination prior to start date**
**Preferred Qualifications:**
+ Active DoD Top Secret Clearance / SCI Access with a counterintelligence (CI) polygraph examination
+ Prior operations and/or systems engineering experience
+ Experience working in a geographically diverse team and matrix organization
+ Experience with Atlassian tool suite (e.g. Confluence, JIRA, etc.)
+ Experience with Linux OS and scripting tools
**Salary Range:** $63,800 - $95,800
**Salary Range 2:** $77,200 - $115,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Alice Springs, AUSR10138334Operations Systems Controller - Systems Engineer 1/2 (Alice Springs AU)None07EBB38AAB104F27848F69DF6B95F42Bhttp://northropgrumman-veterans.jobs/07EBB38AAB104F27848F69DF6B95F42B23MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems Sector** has an opening for a **Principal Engineer Software** / **Senior Principal Engineer Software** to join the **Global Surveillance Division** supporting a team of qualified, diverse individuals. **This position will be located in Melbourne, Florida.**
Responsibilities will include deploying, automating, maintaining, troubleshooting, and improving the systems and software infrastructure running smoothly. The role requires you to have hands on technical experience and a can-do approach towards environment automation and management along with continuous improvements. You will be a team member working in agile development process. You will be responsible for the design and implementation of application builds, releases, deployment along with configuration activities. Your responsibilities will include working with internal business partners to gather requirements, prototyping, and architecting complex solutions supporting the building and test plan execution, performing quality reviews, and triaging and fixing operational issues. The candidate will assist with the integration, troubleshooting and testing of implemented software changes. The ideal candidate will have the ability to take ownership of an ambiguous hard problems and drive to a solution, while working in a team environment. It is critical that you understand the software development life cycle and have an in-depth knowledge of automated testing to facilitate and analyze large data sets. The Software Engineer will develop, modify, and maintain customized or standardized applications using software engineering best practices and standards, and participate in the full life cycle of software development, to include requirements development, modeling and design, application development, unit to CSCI testing, integration, formal system testing, release, installation, and maintenance.
The ideal candidate will have the ability to take ownership of hard problems and drive to a solution, while working in a team environment and provide leadership for its implementation. It is critical that you understand the software development life cycle and have an in-depth knowledge of automated testing to facilitate and analyze large data sets. The Software Engineer will participate in the full life cycle of software development, to include requirements development, modeling and design, application development, unit to CSCI testing, integration, formal system testing, release, installation, and maintenance. The Software Engineer will apply technical expertise in solving complex integrations problems. The Software Engineer will interact with Program Management, external suppliers and/or government customers. Therefore, excellent verbal, written and interpersonal skills are required. A solid experience in bash scripting, C/C++, or other OOP languages, in a Linux environment is required. Ability to obtain and maintain a DoD secret security clearance and Special Program Access is a required.
Your responsibilities will include working with internal business partners to gather requirements, prototyping, and architecting complex solutions supporting the building and test plan execution, performing quality reviews, and triaging and fixing operational issues. You will have the opportunity to become the subject matter expert for various subsystems of the aircraft and contribute to the overall design of the software.
The ideal candidate will have the ability to take ownership of hard problems and drive to a solution, while working in a team environment and provide leadership for its implementation. It is critical that you understand the software development life cycle and have an in-depth knowledge of automated testing to facilitate and analyze large data sets. The Software Engineer will participate in the full life cycle of software development, to include requirements development, modeling and design, application development, unit to CSCI testing, integration, formal system testing, release, installation, and maintenance. The Software Engineer will apply technical expertise in solving complex integrations problems. The Software Engineer will interact with Program Management, external suppliers and/or government customers. Therefore, excellent verbal, written and interpersonal skills are required. A Solid experience in Java, C/C++, or other OOP languages, in Windows and/or Linux environment is required.
**Essential Functions:**
• Full lifecycle design, implementation, and unit testing of software
• Work with various team members to integrate functionality with other software components
• Ability to lead a team and /or provide leadership to drive solutions of complex problems
• Gather and report software metrics
• Support software working groups with the customer
• Ensure software best practices
• Develop software products from all phases of the software lifecycle
**Basic Qualifications:**
+ (Principal) Bachelor's degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited university with 5 or more years software development experience, or Master's degree and 3 or more year's experience developing software or a PhD with 0 or more years software development experience.
+ (Sr. Principal) Bachelor's degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited university with 9 or more years software development experience, or Master's degree and 7 or more year's experience developing software or a PhD with 4 or more years software development experience.
+ Demonstrated experience with bash scripting, Linux System Administration and OS configuration
+ Demonstrated experience with multi-level security, and cybersecurity hardening
+ Demonstrated experience with documenting software requirements and test cases related to multi-level security and cybersecurity hardening
+ Experience with SELinux
+ Experience with Git version control
+ Demonstrated experience with C/C++ or other OOP languages
+ Experience with formal software test and Weapon System evaluations
+ Understanding of software and system modeling with UML or SYSML
+ In-depth working knowledge in the use of containers (Docker, podman, Kubernetes, etc..)
+ In-depth working knowledge working with computer networking technologies and OSI model
+ In-depth working knowledge of Security Enhanced Linux (SELinux) architecture and implementations
+ In-depth working knowledge in configuration management using industry standard tools and processes
+ The ability to obtain and maintain a DoD Secret level security clearance.
+ The ability to obtain and maintain a program special program access clearance.
**Preferred Qualifications:**
+ Master's degree with 10 or more years' experience developing software
+ Experience leading cross-functional engineering teams
+ Experience interfacing with customers and/or stakeholders
+ Familiarity with ship-based airborne radar platforms
+ Familiarity with the Atlassian tool suite like Jira and Confluence
+ Familiarity with the Agile software development process
+ Working Knowledge of the Risk Management Framework (RMF) process
+ In Scope Active DoD Top Secret level security clearance
+ Program Special Program Access clearance
**Salary Range:** $90,400 - $135,600
**Salary Range 2:** $112,000 - $168,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10135816FloridaFLPrincipal Engineer Software/Senior Principal Engineer SoftwareNone1D2D8BE36A1541D5A4A2A127497A2BBChttp://northropgrumman-veterans.jobs/1D2D8BE36A1541D5A4A2A127497A2BBC23RidgecrestNorthrop GrummanUnited StatesUSA2024-03-27 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Job Summary**
Implement Quality Assurance Program Plans for individual flight and development hardware programs addressing design, manufacturing, integration, and test activities in accordance with company and contractual requirements. Work as a member of an integrated product team of the Northrop Grumman Defense Systems, Advanced Weapons Business Unit Mission Assurance staff in various groups to address technical issues, meet program requirements and ensure mission success. Interface with customers, suppliers, and with Integration & Test and Engineering organizations to identify and resolve mission/flight assurance issues. Provide support to Mission Assurance Management in review of various activities including ensuring AS9100D compliance throughout site policies, procedures and practices.
**Key Job Responsibilities (but not limited to):**
+ Provide Mission Assurance oversight of production processes and supporting activities.
+ Execute Safety and Quality Assurance programs, procedures and controls ensuring that products and services conform to company standards of excellence.
+ Adjudicate and process preliminary and final dispositions for product and service non-conformances.
+ Support the development, implementation and maintenance of Mission Assurance policies and procedures.
+ Interface with suppliers in the verification of product design and reliability.
+ Process non-conformances and issue and manage Corrective Actions Requests.
+ Interpret customer technical and mission assurance requirements to ensure these requirements are integrated into program plans, specifications, and product drawings.
+ Provide oversight in non-conformance review, failure investigations, as well as root cause, corrective action and preventive action determinations. Analyze and interpret trends and execute improvements on key metrics.
+ Represents Mission Assurance at different program reviews and review boards including Configuration Control Board (CCB), Corrective Action Board (CAB) and Material Review Board (MRB).
+ Ensure Risk Management activities (DFMEA, PFMEA, Risk Boards) are executed according to standards and procedures.
+ Ensure program contract requirements are flowed down internally and to suppliers, ensures compliance with contract program, quality, organizational, and industry alert requirements.
+ Review and approve design documentation (Qualification Reports, Drawings, ATPs, BOMs, etc).
+ Review and approve production documentation (assembly instructions, MOs and rework instructions).
+ Provide oversight in receiving inspection activities of supplier provided material.
+ Interface with and support DCMA activities including audits and Government Source Inspection (GSI).
+ Provide Mission Assurance Engineering oversight at the Naval Air Weapons Station (NAWS) China Lake.
**Competencies for Success:**
+ Ability to multitask and prioritize
+ Strong attention to detail and accuracy
+ Ability to work independently / autonomously
+ Ability to work in a dynamic, fast paced, diverse environment
+ Initiative, self-starter, adaptable, and high motivation for excellence
+ High energy, results oriented, self-motivated / self-reliant, team player
+ Strong interpersonal skills with ability to interface with the organization at all levels
+ Positive individual who is willing to expand current skill set through schooling and training
**Education and Experience**
Basic qualifications for a **Principal Mission Assurance Engineer** are:
+ Ability to communicate effectively.
+ Bachelor's degree; degree in an Engineering discipline is preferred.
+ 5 years minimum of quality / mission assurance engineering experience.
+ Ability to obtain and maintain a Secret clearance within a timeframe set forth by management.
+ Ability to read engineering documentation and inspect drawings and parts lists, inspection plans, and supplemental instructions.
+ Knowledge base in Root Cause Analysis tools, such as Ishikawa, FMEA, DOE, 8D, 5 Why, etc.
Basic qualifications for a **Senior Principal Mission Assurance Engineer** are:
+ Ability to communicate effectively.
+ Bachelor's degree; degree in an Engineering discipline is preferred.
+ 9 years minimum of quality / mission assurance engineering experience.
+ Ability to obtain and maintain a Secret clearance within a timeframe set forth by management.
+ Ability to read engineering documentation and inspect drawings and parts lists, inspection plans, and supplemental instructions.
+ Knowledge base in Root Cause Analysis tools, such as Ishikawa, FMEA, DOE, 8D, 5 Why, etc.
**Preferred Qualifications:**
+ Proficient in MS Office Suite.
+ Current/Active Secret clearance.
+ Costpoint and TipQA experience a plus.
+ Proficient with AS9102 and AS9100D Aerospace standards.
+ Working knowledge or certification(s) in IPC-A-610, IPC/WHMA-A-620 and J-STD-001 standards.
+ Good working knowledge and experience with Geometric Dimensioning and Tolerancing (GD&T).
**Salary Range:** $104,600 - $157,000
**Salary Range 2:** $129,700 - $197,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Ridgecrest, CAR10133871CaliforniaCAPrincipal/ Sr Principal Engineer Mission AssuranceNone1FA66912BCDA46D6955486B9E429D4E0http://northropgrumman-veterans.jobs/1FA66912BCDA46D6955486B9E429D4E023San DiegoNorthrop GrummanUnited StatesUSA2024-03-27 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Description**
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Job Description / SOW :
Northrop Grumman Aeronautics Systems (NGAS) is seeking a Triton Air Vehicle Subject Matter Expert to join our team of qualified, diverse individuals. This position will be located Rancho Bernardo, CA with final assignment location in **Edinburgh, Australia** . This individual will act as the NGAS lead for Edinburgh and Tindal.
Essential Functions :
+ Provide Northrop Grumman Aeronautics Systems (NGAS) Engineering support to Northrop Grumman Australia (NGA) to support the receipt, testing, and operation of the Triton Air Vehicle. The Air Vehicle will be based in Tindal AUS, the NGA engineering staff will be in Edinburgh AUS. Travel within AUS will be required.
+ Provide expansive engineering expertise of the Air Vehicle, requiring familiarity with all disciplines within the air vehicle IPT (airframe, subsystems, installations, harnessing, structures, etc.), familiarity with functions of associated IPTs such as the Vehicle Management Systems and Build IPTs, and functional areas such as SEIT and Test.
+ Train and educate Commonwealth engineers, technical staff and remote pilots on the Triton air vehicle
+ Central point for communicating with the NGAS IPTs in support of engineering investigations preformed by NGA in response to defects, deficiencies, or requests for support raised by the NGA maintenance organization.
+ Lead the assessment of USN provided design changes and system modifications in order to enable NGA to determine the impact on capability, system safety, and avaialbility.
+ Maintain liaison role with NGAS IPTs in San Diego having direct impact on the air vehicles, configuration management, technical orders, service bulletins, field modifications, and other support activities to exchange information, coordinate efforts, and resolve problems.
The Subject Matter Expert will work closely with the customer and local community in support of and in compliance with company policies and objectives. There will be a Ground Segment SME also located in Edinburgh, and additional systems/payloads/networks SMEs located in Tindal to coordinate with.
The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, collaborative/team settings across all levels. This position requires excellent verbal and written communications skills as well as demonstrated leadership and problem-solving skills.
This position is a rotational assignment that will be based in Edinburgh, Australia from April 2024 through December 2025. Start date of January 2024 is required for processing. A comprehensive overseas compensation package, including relocation assistance, is provided.
Basic Qualifications :
+ Bachelor of Science Degree in a STEM (Science, Technology, Engineering or Mathematics) discipline with 9 or more years of Engineering experience, a Master's degree in a STEM discipline with a minimum of 7 years of engineering experience, or a PhD in a STEM discipline with a minimum of 4 years engineering experience.
+ Active Top Secret clearance required to start.
+ Must have the ability to work on-site in Edinburgh, Australia for at least two years.
+ Previous direct experience with aircraft systems.
Preferred Qualifications :
+ Previous experience with the Triton Air Vehicle
+ Previous experience with the Triton IFC4 Configuration
+ Ability to read engineering drawings and system schematics.
+ Experience with conceptual operation, integration and troubleshooting of typical aircraft subsystems.
+ Experience interfacing with military and international customers.
+ Excellent verbal, written, and interpersonal communication skills.
+ Experience with SIEMENS Teamcenter, JIRA, and CATIA.
**Salary Range:** $129,700 - $194,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10146930CaliforniaCASr. Principal Aeronautical Engineer (Triton Air Vehicle SME)None2EC2A30B51154183834B9649692DF33Bhttp://northropgrumman-veterans.jobs/2EC2A30B51154183834B9649692DF33B23CincinnatiNorthrop GrummanUnited StatesUSA2024-03-27 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join **Northrop Grumman - Cincinnati (aka Xetron),** part of Mission Systems sector, focused on the development of new capabilities for our military and intelligence customers around the globe. As a small Operating Unit of the larger Northrop Grumman Corporation, located in Cincinnati Ohio, Xetron is a rare example of a company with a small business culture operating collaboratively with its larger business entity. This unique relationship offers employees the ability to literally know everyone at the site at a personal level while still working on technologies and products that are beyond state-of-the-art. You'll get the opportunity to wrestle with the next big problems in an atmosphere of collaboration and cooperation, sharing your expertise with team members at all levels.
We are seeking early career, **cleared or clearable,** **senior-level** **Embedded Software Engineers** to lead development of our sensor, communication, and cyber systems in Cincinnati, OH.
Engineers here are part of multi-disciplinary program teams implementing next-generation, specialized communication systems and sensing systems critical to US intelligence customers and allies. Team members conduct all phases of these programs, including requirements generation, hardware-selection, software development, and system integration and test.
Programs at Northrop Grumman in Cincinnati are engineering intensive, rapid-schedule jobs for diverse SIGINT/EW and Cyber applications. Research and solve problems in the areas of advanced waveform development, low size, weight, and power (low SWaP) processing systems, specialized space communication payloads, and persistent signal surveillance systems.
Our employees take advantage of Northrop Grumman's flexible work arrangements, including 9/80 schedules and 4/10 schedules. We offer training and learning opportunities supporting technical and personal growth in al business areas that benefit our customers. Northrop Grumman offers competitive benefits including 401k matching!
**Are you seeking a challenging role with a strong team? Come define possible with us in Cincinnati!**
**Basic Qualifications for Staff Embedded Software Engineer:**
+ Bachelor of Science (BS) degree in science, engineering, or related field with 14 Years of experience; or 12 years with a Masters (MS) degree; or 9 years with a PhD
+ Experience with C, C++, and embedded assembly languages such as ARM, PIC, and AVR
+ Experience with embedded systems development environments such as Xilinx SDK, TI Code Composer Studio, IAR Embedded Workbench, and the GNU compiler collection (GCC) and associated tools
+ Experience with embedded systems debugging and system analysis tools such as. JTAG emulators, remote GDB servers, USB or benchtop logic analyzers)
+ Technical leadership experience of small (3+) teams of engineers
+ US Citizenship and the ability to obtain a DoD Top Secret security clearance prior to starting employment (we will sponsor).
**Preferred Qualifications:**
+ Active Top Secret Clearance
+ Experience with embedded communications protocols such as SPI, I2C, RS-232, CAN, SpaceWire, and MIL-STD-1553B
+ Interest in digital signal processing (DSP)
+ Experience with GNU Radio or other software-defined radio (SDR) platforms
+ Proficient with MATLAB/Octave
+ Experience with embedded real-time operating systems (RTOS) such as FreeRTOS, VxWorks, or Linux with PREEMPT_RT
+ Development of networking protocols such as TCP/IP and UDP
+ Modern software development proc
**Salary Range:** $150,000 - $225,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Cincinnati, OHR10097036OhioOHSenior-Level Embedded Software EngineerNone347C7FD880B1419C8112691F4A01E722http://northropgrumman-veterans.jobs/347C7FD880B1419C8112691F4A01E72223San DiegoNorthrop GrummanUnited StatesUSA2024-03-27 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman we develop cutting-edge technology that preserves freedom and advances human discovery. Our pioneering and inventive spirit has enabled us to be at the forefront of technological advancement in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We continue to innovate with developments from launching the first commercial flight to space, to discovering the early beginnings of the universe. Our employees are not only part of history, they are making history.
Northrop Grumman Aerospace Systems has an opening for an **Electronics Engineer - Communications Subsystems** familiar with communications subsystems and technologies to join our team of qualified, diverse individuals. This position is primarily supported out of **Rancho Bernardo, CA** but **Palmdale, CA** will also be considered.
Candidates hired out of Rancho Bernardo will have an occasional travel requirement between 10-25%. Most of the travel is limited to the Southern California area.
The Electronics Engineer will be responsible for:
+ Managing and prioritizing tasking pertaining to sustainment of operational capabilities
+ Providing direct user support, engineering expertise, and troubleshooting during test events
+ Addressing and correcting deliverable subsystem performance concerns via program identified tools/systems on behalf of the Communications technical team
+ Identifying opportunities for deliverable system improvement and working with engineering teams to implement in accordance with approved program process
+ Reporting Comms subsystem status to program, technical team and IPT leadership and identifying risks/issues/concerns in order to prevent increased cost or schedule loss
**Basic Qualifications:**
+ Bachelor's Degree in STEM from an accredited university with 2 years of related experience or 0 years with a Master Degree (STEM) or 0 years with a PhD (STEM).
+ Active DoD Secret security clearance and ability to acquire Top Secret Clearance
+ Ability to obtain and maintain Special Program Access (SAP)
+ Experience in Communication Subsystems or technologies
+ Up to 25% travel
**Preferred Qualifications:**
+ Experience in communications system integration and test Familiarity with common industry standards related to communications systems, such as MIL-STD-1553, RS-422, RS-232, IPv4/IPv6
+ Familiarity with common industry standards related to communications systems, such as MIL-STD-1553, RS-422, RS-232, IPv4/IPv6
+ Experience with RF based test equipment to include spectrum analyzers, network analyzers, and power meters
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit www.northropgrumman.com/EEO . U.S.
Citizenship is required for most positions.
**Salary Range:** $85,000 - $127,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10130338CaliforniaCAElectronics Engineer - Communications SubsystemsNone375ABF586DC74B5884BAD2E19F5C2F52http://northropgrumman-veterans.jobs/375ABF586DC74B5884BAD2E19F5C2F5223MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Principal Lab** **Electrical Design Engineer** to join our team of qualified, and diverse individuals within our Test and Evaluation organization. This role is located in **Melbourne, FL.**
In this role, you will contribute to the research, design, analysis, manufacture, and testing associated with Laboratory and aircraft Equipment. This position has no opportunity for remote or hybrid work.
**Key Responsibilities:**
+ Electrical design of Laboratory equipment, test, measurement, and handling equipment used on military aircraft.
+ Gathering of data, requirements development, interfacing with suppliers, and performing electrical design of cable assemblies, circuit breaker panels, junction boxes, Equipment Racks etc.
+ Acting as manufacturing liaison during build process of your project to ensure overall quality, delivery, and cost objectives are achieved.
+ Testing and integration of manufactured Equipment in Lab and on aircraft
The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, collaborative/team settings across all levels. Candidate must also be willing to occasionally travel to various site locations to demonstrate the function of the designed Support Equipment.
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**Basic Qualifications (Principal):**
+ Must have a Bachelor of Science degree in a Science, Technology, Engineering, or Math ( STEM) discipline AND 5 years of related professional/military experience OR a Master of Science degree in a STEM discipline AND 3 years of related professional/military experience OR a STEM Ph.D. AND 0 years of related professional/military experience
+ Must have an active DoD Secret or higher clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation)
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance prior to the commencement of employment
**Preferred Qualifications:**
+ Basic understanding of Electrical System Design and Cable Design
+ Master's degree in Electrical Engineering or a Master's degree in a Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university with 3 years' relevant experience
+ Current, active in-scope DoD Secret or higher security clearance
+ Current Special Access Program (SAP) access
+ AutoCAD / Mentor Graphics Capital Logic and / or Harness XC experience
+ CPLM (Common Product Lifecycle Management) Experience
+ Experience creating electrical layouts and wiring diagrams.
+ Experience in selection of Electrical Components
+ EMI/EMC Design Experience
**Salary Range:** $92,600 - $139,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10146020FloridaFLPrincipal Lab Electrical Design EngineerNone47795926F4F44689908C18085539FC2Fhttp://northropgrumman-veterans.jobs/47795926F4F44689908C18085539FC2F23AuroraNorthrop GrummanUnited StatesUSA2024-03-27 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
Northrop Grumman Space Systems Sector is looking for you to join our team as a Principal Software Engineer based out of Aurora, CO.
**What You'll get to Do:** We are looking for a Software Engineer experienced in Java (as your primary and most skilled in Object-Orientated programming language) who wants to work on an agile development team using modern development practices tackling both new development and operational support of systems that advance National Security. Our Aurora campus has numerous programs in all phases on the development and operational lifecycle, from smaller single scrum team efforts to larger multi-team efforts, some that leverage remote teams from other Northrop Grumman sites. Many of our programs are using a micro-service event driven architecture using Docker Containers, Kubernetes, Helm charts and AWS services. Programs also in Operations have maintenance and sustainment engineering needs on existing deployed baselines which may require troubleshooting and programming in C++/C. Many programs have a mixture of other languages in use such as Python or JavaScript. Needs exist for front-end developers, back-end developers, and full-stack developers. We are flexible and career growth focused permitting staff to either deepen skills on one program, or seek other internal opportunities over time to broaden skills, experiences, and mission exposure.
**About our organization:** As a Principal Software Engineer, you'll be joining our growing Aurora Software and Digital Engineering department which has 200+ Software Engineer, DevOps Engineer, Systems Administrator, Database Administrator, and Network Engineer peers from entry-level to the most senior chief engineers and architects. Principal Software Engineers frequently get into informal (example: Subject Matter Expert) and formal technical leadership roles within their programs, as applicable and per interest. We have plenty of opportunity for career advancement into higher level technical roles or leadership positions. Our Software Department is part of a larger organization that includes Systems Engineering, Integration, and Test staff as well as a Hardware Engineering unit. This larger organization influences cross-program collaboration, professional development and training, as well as engagement and inclusion activities such as lunch-n-learns, campus events, and leadership mixers.
**You'll get a TS/SCI clearance:** While a TS/SCI clearance is required to start, it is not required to apply, be interviewed, and even offered to. After an offer is accepted, we'll start the process to obtain your TS/SCI clearance. Once your TS/SCI is granted, we'll then remove your offer clearance contingency to negotiate a start date. We are willing to wait if you are.
**Basic Qualifications:**
+ Bachelor's degree in Science with 5+ years of software development experience; 3+ years with a Master's; 0 years with a PhD; or 4 additional years of experience in lieu of a degree.
+ Experience as a front-end, back-end, or full-stack developer
+ Experience developing on team s using Agile methodology, process, and tools
+ Experience designing, developing, and troubleshooting in Java
+ Experience using object oriented design
+ Experience using version control soft ware
+ Ability to obtain and maintain a TS/SCI clearance (required to start)
+ Candidate must be able to obtain a Counterintelligence Polygraph (CI-Poly)
**Offers are contingent upon receiving the Top Secret (TS) / SCI clearance**
**Preferred Qualifications:**
It is preferred that a given candidate has some of the preferred qualification below. No expectation of all.
+ Experience designing, developing, and troubleshooting in C++, C, Python, and/or JavaScript
+ Experience designing, developing, and troubleshooting in virtualized environments (VMs, AWS Cloud Instances)
+ Experience with software development on Red Hat Enterprise Linux
+ Experience with Dockerization and Container Management (Dockers and Kubernetes)
+ Experience with Atlassian tools (Jira, Confluence, Bitbucket)
+ Experience with AWS Services
+ Experience with software system integration, testing, and deployment, including but not limited to automation
+ Experience with DevOps and/or Site Reliability Engineering
+ Active (or prior) Secret clearance, or prior Top Secret clearance
**Salary Range:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Aurora, COR10135199ColoradoCOPrincipal Engineer SoftwareNone4AB2D4548EF340598005FBCC8A0B9594http://northropgrumman-veterans.jobs/4AB2D4548EF340598005FBCC8A0B959423MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems Sector** has an opening for a **Staff Engineer Software** to join Global Surveillance Division supporting a team of qualified, diverse individuals. **This position will be located in Melbourne, Florida.**
Responsibilities will include deploying, automating, maintaining, troubleshooting, and improving the systems and software infrastructure running smoothly. The role requires you to have hands on technical experience and a can-do approach towards environment automation and management along with continuous improvements. You will be a team member working in agile development process. You will be responsible for the design and implementation of application builds, releases, deployment along with configuration activities. Your responsibilities will include working with internal business partners to gather requirements, prototyping, and architecting complex solutions supporting the building and test plan execution, performing quality reviews, and triaging and fixing operational issues. The candidate will assist with the integration, troubleshooting and testing of implemented software changes. The ideal candidate will have the ability to take ownership of an ambiguous hard problems and drive to a solution, while working in a team environment. It is critical that you understand the software development life cycle and have an in-depth knowledge of automated testing to facilitate and analyze large data sets. The Software Engineer will develop, modify, and maintain customized or standardized applications using software engineering best practices and standards, and participate in the full life cycle of software development, to include requirements development, modeling and design, application development, unit to CSCI testing, integration, formal system testing, release, installation, and maintenance.
The ideal candidate will have the ability to take ownership of hard problems and drive to a solution, while working in a team environment and provide leadership for its implementation. It is critical that you understand the software development life cycle and have an in-depth knowledge of automated testing to facilitate and analyze large data sets. The Software Engineer will participate in the full life cycle of software development, to include requirements development, modeling and design, application development, unit to CSCI testing, integration, formal system testing, release, installation, and maintenance. The Software Engineer will apply technical expertise in solving complex integrations problems. The Software Engineer will interact with Program Management, external suppliers and/or government customers. Therefore, excellent verbal, written and interpersonal skills are required. A solid experience in bash scripting, C/C++, or other OOP languages, in a Linux environment is required. Ability to obtain and maintain a DoD secret security clearance and Special Program Access is a required.
**Roles & Responsibilities:** This position is primarily engaged in **the development and fielding of target tracking and sensor fusion systems** for **advanced multi-function RF and EOIR subsystems** . Key features of operation include analyzing, shaping, and relating data from a wide variety of modes, such as: long-range search and track Radar waveforms, electronic surveillance measure returns, and Electro-Optic/Infrared video inputs.
Essential Functions:
+ Full lifecycle design, implementation, and unit testing of software
+ Work with various team members to integrate functionality with other software components.
+ Supporting the gathering and reporting software metrics.
+ Follow program software best practices.
+ Develop software products within all phases of the software lifecycle.
+ Develop and support systems to receive, store, process, display, correlate, fuse and forward surface data [GMTI, Synthetic Aperture Radar (SAR), Maritime Moving Target Indicator (MMTI), Inverse Synthetic Aperture Radar (ISAR), High Range Resolution (HRR) and Electronic Protection (EP)] from multiple sources.
+ Developing software products implemented from algorithms.
+ In-depth working knowledge from tracker fundamentals through fusion, parametric and correlating trackers.
**Basic Qualifications:**
+ Bachelor's degree and 14-years of experience in software engineering OR a Master's degree and 12-years of experience OR a PhD degree and 9-years of experience.
+ (Senior Principal) Bachelor's degree and 9-years of experience in software engineering OR a Master's degree and 7-years of experience OR a PhD degree and 4-years of experience.
+ Higher proficiency understanding and developing multi-target trackers, adaptive processing, or sensor fusion algorithms.
+ Demonstrated programming experience/expertise in C/C++ or other object oriented language.
+ Proficiency with MATLAB or equivalent programming/analysis languages.
+ Experience developing software to receive, store, process, display, correlate, fuse and forward surface data, Synthetic Aperture Radar, Maritime Moving Target Indicator, Inverse Synthetic Aperture Radar, High Range Resolution, EO/IR, and Electronic Protection from multiple sources.
+ Working knowledge of Software engineering principles and processes.
+ Ability to communicate effectively with customers, senior leadership, and other engineering disciplines.
+ Ability to obtain and maintain a DoD Secret security clearance "Required to Start".
+ Able to obtain and maintain a program special access program clearance.
+ US Citizenship required.
**Preferred Qualifications:**
+ Master's Degree or PhD in a STEM discipline including electives in radar techniques and signal processing.
+ High level experience and proficiency in signal processing or RF applications.
+ Proficiency with optimal estimation algorithms (Kalman Filtering), digital beamforming, or adaptive processing.
+ Experience integrating and testing of modes/algorithms on RADAR, SIGINT, EOIR, or EW systems.
+ Active In-scope DoD Top Secret Clearance.
+ Has been previously approved for special access programs.
**Salary Range:** $142,500 - $213,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10146399FloridaFLStaff Engineer SoftwareNone4E015E6EA2364514887F550F59595B37http://northropgrumman-veterans.jobs/4E015E6EA2364514887F550F59595B3723MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Software Functional Manager, 2** for the Flight Software Department. This position will be located in **Melbourne, FL** .
The candidate should have a strong technical background in software development and embedded systems, including strong C/C++, software requirements and software design experience. Software Development focus is for flight/safety-critical applications using software engineering best practices & standards and participate in the full life-cycle of software development to include C++ coding to flight/safety critical coding standards, unit testing and software integration testing, Software requirements and design documentation and support for program reviews. Management duties will require tasking and coordination of approximately 12 engineers, and process and resource coordination with functional homeroom management.
The candidate will be responsible for assessing staffing needs, current as well as projected and working with the respective IPT to address those needs. He/she will also be responsible for supporting recruitment activities including the creation of requisitions, conducting interviews, and approving offers. He/she will work with the program software manager and IPT leads in establishing personal program and functional goals as well as performing performance evaluations and merit adjustments for subordinates. The candidate will provide their subordinates with career development recommendations, mentoring, discipline, as necessary. He/she will acquire the necessary computers, equipment, and tools to enable their subordinates to perform their work. He/she will generate training plans based on the program and their subordinates' needs. It is expected that the candidate will provide their group with regular flow down of company and organizational status and appropriately represent and be a spokesperson for the Software Engineering organization to their group, assigned project, and the company.
The candidate will have 80-90% technical responsibilities: develop, modify and maintain real time embedded system software development for flight/safety-critical applications using software engineering best practices & standards and participate in the full life-cycle of software development to include C++ coding to flight/safety critical coding standards, unit testing and software integration testing. Software requirements and design documentation and support for program reviews. Cost Account Management and Risk Management.
This position is a dual-hat position requiring the candidate to perform functional management activities as well as the individual's current program responsibilities on program. It is expected that the Functional management role will comprise 10% to 20% of the candidate's time.
**Basic Qualifications:**
+ Bachelor's Degree in a Science, Technology, Engineering or Mathematics (STEM) discipline and 9 years of direct related experience in military and/or commercial payload integration and/or software systems engineering development OR a Master's Degree in a STEM discipline and 7 years of direct related experience in military and/or commercial payload integration and/or software systems engineering development.
+ Must have an active DoD Secret clearance that has been granted or renewed in the last 6 years or is currently under continuous evaluation.
+ Must be able to obtain and maintain Special Program Access (PAR) prior to the start of employment.
+ Experience developing software with C++ and/or Python.
+ Experience designing, developing, integrating, verifying and qualifying safety-critical real-time embedded computing systems.
+ Must be familiar with all phases of the software development life cycle and Agile software development.
+ Prior lead or management experience in a team environment.
**Preferred Qualifications:**
+ MS in Computer Science, Software Engineering or Computer Engineering
+ Previous experience on a medium-to-large program, with a focus on vehicle management systems.
+ Excellent oral and written communication skills, and strong interpersonal skills.
+ Current Special Access Program (SAP) access.
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement and respond vigorously to change.
**Salary Range:** $123,900 - $185,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10139280FloridaFLSoftware Functional Manager 2None66047435497541BCBB2C0EE7AB9EC341http://northropgrumman-veterans.jobs/66047435497541BCBB2C0EE7AB9EC34123AuroraNorthrop GrummanUnited StatesUSA2024-03-27 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
Northrop Grumman Space Systems Sector is looking for you to join our team as a Senior Principal Software Engineer based out of Aurora, CO.
**What You'll get to Do:** We are looking for a Software Engineer experienced in Java (as your primary and most skilled in Object-Orientated programming language) who wants to work on an agile development team using modern development practices tackling both new development and operational support of systems that advance National Security. Our Aurora campus has numerous programs in all phases on the development and operational lifecycle, from smaller single scrum team efforts to larger multi-team efforts, some that leverage remote teams from other Northrop Grumman sites. Many of our programs are using a micro-service event driven architecture using Docker Containers, Kubernetes, Helm charts and AWS services. Programs also in Operations have maintenance and sustainment engineering needs on existing deployed baselines which may require troubleshooting and programming in C++/C. Many programs have a mixture of other languages in use such as Python or JavaScript. Needs exist for front-end developers, back-end developers, and full-stack developers. We are flexible and career growth focused permitting staff to either deepen skills on one program, or seek other internal opportunities over time to broaden skills, experiences, and mission exposure.
**About our organization:** As a Senior Principal Software Engineer, you'll be joining our growing Aurora Software and Digital Engineering department which has 200+ Software Engineer, DevOps Engineer, Systems Administrator, Database Administrator, and Network Engineer peers from entry-level to the most senior chief engineers and architects. Senior Principal Software Engineers are expected to be role-models and mentors to less-experienced staff. Senior Principal Software Engineers may also get into informal and formal technical leadership roles within their programs, as applicable and per interest. We have plenty of opportunity for career advancement into higher level technical roles or leadership positions. Our Software Department is part of a larger organization that includes Systems Engineering, Integration, and Test staff as well as a Hardware Engineering unit. This larger organization influences cross-program collaboration, professional development and training, as well as engagement and inclusion activities such as lunch-n-learns, campus events, and leadership mixers.
**You'll get a TS/SCI clearance:** While a TS/SCI clearance is required to start, it is not required to apply, be interviewed, and even offered to. After an offer is accepted, we'll start the process to obtain your TS/SCI clearance. Once your TS/SCI is granted, we'll then remove your offer clearance contingency to negotiate a start date. We are willing to wait if you are.
**Basic Qualifications:**
+ Bachelor's degree in Science with 9+ years of software development experience; 7 years with a Master's; 0 years with a PhD; or 4 additional years of experience may be considered in lieu of a degree.
+ Experience as a front-end, back-end, or full-stack developer
+ Experience developing on team s using Agile methodology, process, and tools
+ Experience designing, developing, and troubleshooting in Java
+ Experience using object oriented design
+ Experience using version control soft ware
+ **Must be able to obtain and maintain a Top Secret/SCI security clearance**
+ M ust be able to obtain a Counterintelligence Polygraph (CI-Poly)
**Offers are contingent upon receiving the Top Secret (TS) / SCI clearance**
**Preferred Qualifications:**
+ Experience designing, developing, and troubleshooting in C++, C, Python, and/or JavaScript
+ Experience designing, developing, and troubleshooting distributed systems
+ Experience designing, developing, and troubleshooting in virtualized environments (VMs, AWS Cloud Instances)
+ Experience as a full-stack developer
+ Experience with software development on Red Hat Enterprise Linux
+ Experience with range of software development phases from research, to new development, and to operations
+ Experience with Dockerization and Container Management (Dockers and Kubernetes)
+ Experience with Atlassian tools (Jira, Confluence, Bitbucket)
+ Experience with AWS Services
+ Experience with ground command/control or ground processing systems (scheduling, tasking, antennas, hardware interfaces, data processing)
+ Experience with software system integration, testing, and deployment, including but not limited to automation
+ Experience with DevOps and/or Site Reliability Engineering
+ Experience in leading software engineers in roles such as Scrum Master, Team Lead, Product Owner, or similar
+ Current **Top Secret/SCI** security clearance
+ Current Counterintelligence Polygraph ( CI-Poly ) completed within the last 5 years
**Salary Range:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Aurora, COR10134773ColoradoCOSr Principal Engineer SoftwareNone6705EFDB59134A65ABD07859F9EEC695http://northropgrumman-veterans.jobs/6705EFDB59134A65ABD07859F9EEC69523PalmdaleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Principal Engineer Packaging** to join our team of qualified, diverse individuals. This position will be based in **Palmdale, CA.**
+ 1st shift, 9/80 schedule with every other Friday off!
+ Position is onsite, unable to accommodate a telecommute/hybrid schedule.
**Essential Functions:**
+ Designs, develops, and tests a wide variety of containers used for the protection, display, and handling of products
+ Determines packaging specifications according to the nature of the product, cost limitations, legal requirements, and the type of protection required, considering need for resistance to such external variables as moisture, corrosive chemicals, temperature variations, light heat, rough handling, and tampering
+ Designs package exteriors considering such factors as product identification, sales appeal, aesthetic quality, printing and production techniques
+ Responsibilities may include documentation management and an understanding of Good Manufacturing Practices (GMPs)
**Basic Qualifications:**
+ Masters degree with 3 years of relevant experience, Bachelors degree with 5 years of relevant experience, an Associates degree with 7 years of relevant experience, or a high school diploma/equivalent with 9 years of relevant experience in lieu of a degree
+ General practice of CAD from any industriesc
+ General knowledge of aerospace packaging and shipping
+ General knowledge of transportability in regard to shipping fixtures
+ Although not required to start, this position requires the ability to obtain and maintain a DoD Secret level clearance and a Program Special Access within a reasonable amount of time as determined by the company to meet its business needs
**Preferred Qualifications:**
+ Degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline
+ Experience with CAD (CATIA/NX) work within the aerospace/automotive industry
+ Experience with MasterCam work within the aerospace industry
+ Experience with tooling and die work within the aerospace industry
+ Experience with Military Packaging Standards within the aerospace industry
+ 49 CFR Certification
+ Knowledge of cushion curves in regard to transportability and protecting articles from shipment
+ Understanding of parts protection from designing containers
**Salary Range:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10140825CaliforniaCAPrincipal Engineer PackagingNone9C39AF5333BC4261943822E029A85C74http://northropgrumman-veterans.jobs/9C39AF5333BC4261943822E029A85C7423RoyNorthrop GrummanUnited StatesUSA2024-03-27 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a Principal / Sr Principal Systems Engineer Architect in support of the Sentinel program. Northrop Grumman supports the Air Force's sustainment, development, production and deployment of hardware and system modifications for Intercontinental Ballistic Missile (ICBM) Ground and Airborne Launch Control Systems, Launch Facilities and associated infrastructure. This position is located in Roy, Utah.
The selected candidate will join the Integrated Functional Capability (IFC) team within the System Engineering Directorate. The IFC team is responsible for coordinating the development and execution of the IFCs in accordance with the Integrated Master Schedule to support major milestone events. This position may be filled at a higher level based on qualifications listed below.
**What You'll Get To Do**
This role requires support of the team leads in close coordination and collaboration with the other Integrated Product Teams (IPTs) responsible for the weapon system architecture model. You will help design and develop Sentinel weapon system architecture and define key capabilities and functions for Sentinel Integrated Functional Capabilities (IFCs).
You will have the opportunity to:
+ Define design and technology maturity constraints as they relate to program integration events
+ Coordinate with integrated product teams for establishing functions and requirements within the architecture model
+ Develop descriptive system models to include logical/physical/functional block diagrams at various abstraction layers
+ Perform gap analysis within the weapon system architecture
**Position Benefits**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package.
**Basic** **Qualifications**
This position may be filled at a Principal or Sr Principal level
+ Principal Systems Engineer: Bachelor's degree in a Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university and 5 years of experience with Bachelor's degree, 3 years of experience with Master's degree, 0 years of experience with PhD
+ Sr Principal Systems Engineer: Bachelor's degree in a Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university and 9 years of experience with Bachelor's degree, 7 years of experience with Master's degree, 4 years of experience with PhD
+ Must be a U.S. Citizen with an active DoD Secret clearance with investigation within the last 5 years or in Continuous Evaluation (CE) and ability to obtain & maintain Special Access Program (SAP) access
+ Previous systems engineering or technical project management experience
+ Experience developing model-based systems engineering products in Cameo
**Preferred Qualifications**
+ Active DoD Top Secret clearance
+ Experience with Agile/DevOps methodologies, practices, and tools
+ General understanding of Northrop Grumman Corporation Engineering Processes
+ Excellent communication, mentoring, interpersonal skills, and ability to interface with senior management, peers, and employees
+ Experience with Sentinel or MMIII weapon systems
+ Experience using DOORs and possesses requirements verification knowledge
+ Experience analyzing and integrating large Cameo models
\#GBSDsystems
**Salary Range:** $90,400 - $135,600
**Salary Range 2:** $112,000 - $168,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10110619UtahUTSentinel Principal / Sr Principal Systems Architect - IFC (9978 9979)None9F578C99C6A64F3BB7E72B14686F6ECDhttp://northropgrumman-veterans.jobs/9F578C99C6A64F3BB7E72B14686F6ECD23MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has multiple openings for a **Principal Mission Software Systems Engineer/Sr. Principal Mission Software Systems Engineer** to join our team of qualified, diverse individuals. This position will be located in **Melbourne, FL** .
**This position may be filled by either at the Principal Mission Software Systems Engineer level OR at the Sr. Principal Mission Software Systems Engineer level based on the qualifications listed.**
In this role you will be responsible for preparing detailed software requirements specifications, developing design and interface specifications, and modeling subsystem behavior.
The selected candidate will become familiar with governing standards to include UAI Platform-Store ICD, MIL-STD-1553, OMS and MIL-STD-1760.
Key Responsibilities:
+ Software requirements development and modeling
+ Development of design documentation and interface control documents
+ Participate in thread meetings
**Basic Qualifications for Principal Mission Software Systems Engineer (T03):**
+ Bachelor's Degree in STEM (Science, Technology, Engineering or Mathematics) related field with 5 years of experience, OR 3 year of experience with a Masters in STEM
+ Must have an active in scope DoD Secret or higher security clearance
+ Must have the ability to obtain and maintain access to Special Programs (SAP)
+ Familiarity with software requirements tools such as DOORS and Rational Rhapsody
+ Experience developing software requirements
**Basic Qualifications for Sr. Mission Software Systems Engineer (T04):**
+ Bachelor's Degree in STEM (Science, Technology, Engineering or Mathematics) related field with 9 years of experience, OR 7 year of experience with a Masters in STEM
+ Must have an active in scope DoD Secret or higher security clearance
+ Must have the ability to obtain and maintain access to Special Programs (SAP)
+ Familiarity with software requirements tools such as DOORS and Rational Rhapsody
+ Experience developing software requirements
**Preferred Qualifications:**
+ Experience working within an Agile environment
+ Experience with C/C++/Python
+ Experience with DXL scripting
+ Human Factors experience
+ Current Special Access Program (SAP) clearance
+ Excellent oral, written, and interpersonal communication skills
**Salary Range:** $92,600 - $139,000
**Salary Range 2:** $114,900 - $172,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10143504FloridaFLPrincipal Mission Software Systems Engineer/Sr. Principal Mission Software Systems EngineerNoneA171F3DA7E434ADA858520C3527474E8http://northropgrumman-veterans.jobs/A171F3DA7E434ADA858520C3527474E823NorthridgeNorthrop GrummanUnited StatesUSA2024-03-27 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Lab Administration will have responsibility for all hardware, software, and test equipment in the lab including the investigation and integration of new technologies to enhance the lab capabilities.
Responsible for all operational and safety procedures for the laboratory usage. Responsible for integration of all hardware and software in the laboratories. Responsible for maintenance and certification of all hardware in the laboratories. Responsible for all technology planning for enhancement of laboratory capabilities. Responsible for maintenance and development of simulation environments used in the laboratories. A successful candidate will understand processes associated system test to include lab design, software, and system level testing.
Primary Responsibilities include:
+ Control the lab, all equipment, and all activities within it to support the engineering and test teams.
+ Coordination across the labs for configuration definition and management of the lab environments to support strategic Lab Plan
+ Support of proposed efforts to enhance or modify the lab environment for future objectives.
+ Resolution of issues and limitations within the lab environment
+ Leadership and management of test integration efforts and lab acceptance tests to ensure readiness of lab configurations.
+ Identify efficiencies to ensure technical and business objectives are achieved.
+ Support the Northrop Grumman Environmental, Safety, Health and Medical (ESH&M) to ensure that the Laboratory is in compliance with all applicable Occupational Safety and Health Administration (OSHA), local and company health & safety, environmental laws, regulations, policies and procedures.
+ Support Northrop Grumman Security personnel to ensures compliance to Personnel, Physical, and Information Security requirements.
+ Work with Mission Assurance to ensure lab compliance with Principles and Operating Practices to include Electrostatic Discharge (ESD) control and others
+ Ensure that test equipment in the Lab, regardless of ownership, is in compliance with the mandatory periodic recall, calibration, certification, and labeling system through a partnership with the Northrop Grumman mission assurance, security and property management organization.
The candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, collaborative/team settings across all levels.
Basic Qualifications:
+ 6-years of experience with bachelor's degree in a STEM field; 10 years of experience in lieu of a degree.
+ Must have technical skills supporting a varied and diverse environment, including server setup, deployment, and maintenance.
+ Understanding test and integration lifecycle
+ Adept problem solver and work well with team members in a fast-paced environment.
+ Experience in racking up servers, cabling/labeling, building, and maintaining an engineering lab with test fixtures.
+ Good understanding of lab administration tasks
+ Ability to obtain a DoD 8570 certification at IAT Level II or higher (Security+, GSEC, SCNP, SSCP, CISSP, CISA, GSE, SCNA)
+ Must hold a secret clearance.
+ Preferred qualification
+ Possess a DoD 8570 certification at IAT Level II or higher (Security+, GSEC, SCNP, SSCP, CISSP, CISA, GSE, SCNA)
+ Hands-on experience working with Linux, Windows and Mac Operating systems, taking snapshots/back-ups, updating security patches etc.
+ Well versed with lab/infrastructure management for tools and procedures.
+ Experience managing inventory of assets and labeling/tracking etc.
+ Good understanding of scripting in Shell, Bash etc. for automating admin tasks
+ Experience in setting up, using, managing, and configuring VMs for multiple users.
+ Experience in Implementing safety measures and providing training to lab personnel.
**Salary Range:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Northridge, CAR10146957CaliforniaCAPrincipal Systems Administrator - Lab Operations (AHT)NoneA7D605701FE14E6EB31065CBAC20D593http://northropgrumman-veterans.jobs/A7D605701FE14E6EB31065CBAC20D59323LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems (NGMS) Advanced Capabilities division is leading the development of the next generation of high-performance computing. Superconducting electronics forms the core of our technology, with a focus on energy-efficient computation using our patented superconducting digital logic technology, Reciprocal Quantum Logic (RQL). On a gate-for-gate basis, RQL consumes orders of magnitude less power than CMOS while running at significantly higher clock speeds.
The Advanced Capabilities group is seeking experienced **Principal / Sr. Principal RF Design Engineers** - Mixed Signal Packaging, EMC Analysis & Design to develop these technologies into high-performance computing systems. You'll work in a fast-paced team environment alongside physicists, design engineers, and superconducting foundry engineers to make these technologies a reality.
**How you will contribute to the Mission:**
+ Lead and/or contribute directly, working on design and analysis of signal integrity and electromagnetic effects in highly complex high frequency, high dynamic range mixed signal systems in a very collaborative and innovative research and development environment
+ Perform analytical studies of electronic systems (integrated circuits, chip-level packaging, interposers, printed circuit boards, connectors, etc.) to support design tradeoffs
+ Design and analysis activities include electromagnetic modeling (e.g. SSN, ISI, crosstalk, EMC/EMI) for packaging parasitic estimation, associated interconnect, PCBA and filters. All design, testing and verification efforts ensure simulated and measured results meet desired design targets, and continually improve simulation-measurement correlation
+ Develop new test and simulation methods as necessary to support the above activities when demands exceed the state of the art
+ Create new design strategies where possible to support functional requirements while meeting SI, PI and EM goals
+ Deep understanding of RF/EMC measurement and analysis (s-parameters, eigenmodes, TDR, FFT, IF, etc.)
+ Work closely with other domain experts performing related functional analyses (e.g. Mechanical design & analysis, circuit design & test, thermal analysis, etc.)
+ Willingness to continually expand the knowledge base of yourself and the team (e.g. examine new research, relevant papers, seek applicable methodologies in adjacent applications, etc.)
+ Coordinate related technical team activities
+ Present details of work to colleagues during design reviews
+ Create and manage work plans to meet or exceed schedule deadlines
+ Provide written and oral summaries of work performed as needed (e.g. for reports)
**This position will serve on-site in Linthicum, MD or Annapolis Junction, MD.**
**This position can be filled at the Principal level or Sr. Principal level based on the qualifications below:**
**Basic Qualifications for the Principal Engineer RF Microwave Design - Mixed Signal Packaging, EMC Analysis & Design:**
+ Bachelors degree in a STEM related field with 5 or more years' of relevant experience required. Masters degree and 3 years of relevant experience
+ Working knowledge of CAD/simulation tools (e.g. HFSS, CST Studio, Sonnet, ADS, HSPICE, SI-Wave, Sigrity) in support of design and analysis
+ Experience with high frequency measurement techniques in frequency and time domains for characterizing various interconnect features such as insertion loss, return loss, impedance, and crosstalk.
+ Understanding of electromagnetic theory, transmission line theory, network analysis, and high-speed measurement, calibration, and de-embedding techniques.
+ Knowledge of fundamental electrical engineering and circuit design principles.
+ Use of Microsoft Office tools (Word, Excel, PowerPoint) and proficiency in writing for reporting.
+ Active U.S. TS/SCI with Poly Security Clearance for which U.S. Citizenship is a requirement
**Basic Qualifications for the Sr. Principal Engineer RF Microwave Design - Mixed Signal Packaging, EMC Analysis & Design:**
+ Bachelors degree in a STEM related field with 9 or more years' of relevant experience required. Masters degree and 7 years of relevant experience
+ Working knowledge of CAD/simulation tools (e.g. HFSS, CST Studio, Sonnet, ADS, HSPICE, SI-Wave, Sigrity) in support of design and analysis
+ Experience with high frequency measurement techniques in frequency and time domains for characterizing various interconnect features such as insertion loss, return loss, impedance, and crosstalk.
+ Understanding of electromagnetic theory, transmission line theory, network analysis, and high-speed measurement, calibration, and de-embedding techniques.
+ Knowledge of fundamental electrical engineering and circuit design principles.
+ Use of Microsoft Office tools (Word, Excel, PowerPoint) and proficiency in writing for reporting.
+ Active U.S. TS/SCI with Poly Security Clearance for which U.S. Citizenship is a requirement
**Preferred Qualifications:**
+ Ansys HFSS modeling for RF packaging effects
+ Experience with spectrum and network analyzer techniques for EMC measurements
+ Familiarity with packaging technology (e.g. ceramic and laminate chip-level packages, printed circuit boards, interposers, wire bonding, etc.)
+ Familiarity with cryogenic test stands, superconductivity, mechanical CTE
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10138606MarylandMDACTIVE CLEARANCE-Principal / Sr. Principal RF Design Engineer - Mixed Signal Packaging EMC Analysis & DesignNoneADAECD4F189B40CD87DE81DE0ED7C47Dhttp://northropgrumman-veterans.jobs/ADAECD4F189B40CD87DE81DE0ED7C47D23Vandenberg AFBNorthrop GrummanUnited StatesUSA2024-03-27 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking **Software Engineering Manager 2 - Cyber and Software Operations - 10824.** This position will be located in **Vandenberg Space Force Base (VSFB), California** or **Santa Maria, CA** and will support the Strategic Deterrent Systems Division.
**What You'll Get To Do:**
• Responsible for assessing staffing needs, current as well as projected and working with the respective team leads to address those needs.
• Responsible for supporting recruitment activities including the creation of requisitions, conducting interviews, and approving offers.
• Work with program leaders in establishing personal, program, and functional goals as well as performing performance evaluations and merit adjustments for subordinates.
• Provide their subordinates with career development recommendations, mentoring, discipline, as necessary.
• Acquire the necessary computers, equipment and tools to enable their subordinates to perform their work.
• Generate training plans based on the program and their subordinates' needs.
• Provide their group with regular flow down of company and organizational status and appropriately represent and be a spokesperson for the organization to their group, assigned project, and the company.
• The candidate will have 80% technical responsibilities: leading teams that develop, modify and maintain systems using engineering best practices & standards This role may include Cost Account Management and Risk Management duties.
• Set and promote high standards for engineering development
• Foster a working environment which reinforces and drives accountability to fundamental Northrop Grumman Values focused on integrity, quality, shared success, and innovation.
• Partner with engineering and program management peers to assure a balanced and efficient assignment of resources to support and achieve key mission priorities
• Coach, support, train, and motivate team members to ensure a high level of performance
• Initiate and lead continuous improvement activities which establish high quality and efficient DevOps/Development processes
• Collaborate with peers and direct management in resource planning and hiring activities
• Work with various team members to lead automation and integration of functionality with other software environment.
• Automate scheduled jobs to reduce labor intensive tasks. (i.e. Scheduling tasks and automating deployments of new/updated scripts)
• Work with Atlassian application suite and other pipeline tools as needed
This position is a dual-hat position requiring the candidate to perform functional management activities as well as the individual's current program responsibilities on program. It is expected that the Functional management role will comprise 10% to 20% of the candidate's time.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package.
**You'll Bring These Qualifications:**
+ Bachelor's Degree and a minimum 6 years of experience; Masters with minimum 4 years of experience; an additional 4 years of experience may be considered in lieu of a degree.
+ US Citizenship and Active DoD Secret clearance with a reinvestigation date occurring in the last 6 years.
+ At least 1 year experience leading a project and/or driving performance against schedule.
+ 3+ years' experience with phases of the software development life cycle and Agile software development.
**These Qualifications Would be Nice to Have:**
+ Active Secret Clearance. Program Access. Ability to obtain Top Secret Clearance.
+ 3+ years leading a team, including mentoring and coaching.
+ Experience with individual contributor performance assessments.
+ Excellent interpersonal, communication and organizational skills.
+ Strong critical thinking and logical reasoning skills with the ability to solve issues and predict potential programmatic and technical risks• Master's degree in engineering.
+ General understanding of NG Engineering Processes.
+ Working knowledge and/or experience across engineering disciplines.
+ Experience on ICBMs.
+ Experience managing an engineering team is preferred.
+ Familiarity with Agile engineering and Jira.
**Salary Range:** $147,800 - $221,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Vandenberg Afb, CAR10128801CaliforniaCASDS - Software Engineering Manager 2 - Cyber and Software Operations - 10824NoneB9988CA815484955893826C15F25B868http://northropgrumman-veterans.jobs/B9988CA815484955893826C15F25B86823BaltimoreNorthrop GrummanUnited StatesUSA2024-03-27 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history
Are you passionate about power? Are you looking for meaningful work in power electronics? If so, we're looking for someone like you to join our team.
Northrop Grumman Mission Systems is seeking a Power Electronics Engineer/ Principal Power Electronics Engineer to join our growing design team located in Baltimore, MD. You will become part of Northrop Grumman's Power Conversion Technology design group, working with other engineers to develop state of the art power supplies and power products for military applications.
This position may be filled as a Power Electronics Engineer or a Principal Power Engineer.
**Roles and Responsibilities:**
- Perform power supply design, including simulation and analysis, schematic creation, component selection, and printed wiring board layout
- Perform technical trade studies
- Perform worst case analysis and component stress analysis
- Provide manufacturing support for your hardware builds
- Develop Acceptance Test Procedures and perform first piece hardware checkout/testing
- Work in a lab environment and support hardware production
**Basic Qualifications for Power Electronics Engineer:**
+ Bachelor's of Science in Electrical Engineering or similar STEM degree (Preferably Electrical Engineering) and 2+ years of experience in Power Electronics Engineering, or Master's degree with 0 years of experience.
+ Familiar with basic isolated and non-isolated circuit topologies & control techniques
+ Familiar with simulation tools (e.g. SPICE, LTSpice, SABER), MathCad
+ Hands-on power supply or power systems design and development exposure
+ Must be able to obtain and maintain a DoD Secret Clearance
**Basic Qualifications for Principal Power Electronics Engineer:**
+ Bachelor's of Science in Electrical Engineering or similar STEM degree (Preferably Electrical Engineering) and 5 years of relevant work experience, or Master's degree with 3 years of relevant work experience, or Ph. D. with 0 years of practical work experience.
+ Familiar with basic isolated and non-isolated circuit topologies & control techniques
+ Familiar with simulation tools (e.g. SPICE, LTSpice, SABER), MathCad
+ Hands-on power supply or power systems design and development exposure
+ Must be able to obtain and maintain a DoD Secret Clearance
**Preferred Qualifications:**
+ Current Secret clearance (or higher)
+ Familiar with power supply EMI/EMC filtering and design
+ Digital control experience
+ Active power factor correction design experience
+ Magnetic component design experience
+ High voltage design experience (up to 200 kV)
+ Working knowledge of power related Mil-Stds for power quality and electromagnetic interference
+ Hardware development team leadership experience
**Why Northrop Grumman?**
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
**As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including** :
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Salary Range:** $83,300 - $124,900
**Salary Range 2:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10123721MarylandMDPower Electronics Engineer/Principal Power Electronics EngineerNoneDD450625493542829D7B73F93916D7C8http://northropgrumman-veterans.jobs/DD450625493542829D7B73F93916D7C823Woodland HillsNorthrop GrummanUnited StatesUSA2024-03-27 06:15:41At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**NG- Military Internship Program Description:**
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29 .The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members **.**
**Responsibilities for this internship position are:**
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for SkillBridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
**Goals** - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
**Objectives** - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
**Outcome** - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
+ Has served at least 180 days on active duty
+ Is within 12 months of separation or retirement
+ Will receive an honorable discharge
+ Has taken any service TAPS/TGPS
+ Has attended or participated in an ethics brief within the last 12 months
+ Received Unit Commander ( first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
**Job Description:**
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our Engineering and Sciences (E&S) organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
We are looking for you to join our team as an HPC Linux Engineer based out of Woodland Hills, CA. As an HPC Linux Engineer at Northrop Grumman you will have a challenging and rewarding opportunity to be a part of our Enterprise-wide digital transformation. Through the use of Model-based Engineering, DevSecOps and Agile practices we continue to evolve how we deliver critical national defense products and capabilities for the warfighter. Our success is grounded in our ability to embrace change, move quickly and continuously drive innovation. The successful candidate will be collaborative, open, transparent, and team-oriented with a focus on team empowerment & shared responsibility, flexibility, continuous learning, and a culture of automation.
**What You'll get to Do:**
Northrop Grumman is one of the industry leaders in Advanced Computing. As such, we are involved in all phases of development, production, and maintenance of High Performing Computers/Labs. We are currently looking for experienced HPC Linux staff to work on a variety of challenging issues. One area where we are in the need of talent is in HPC Linux Software Engineering.
As a HPC Linux Software Engineer, you will perform tasks that include:
+ Perform system administration tasks
+ Manage HPC system life cycle: concept, design, fabrication, test, installation, operation, maintenance, and disposal
+ Perform HPC technical planning, system integration, verification and validation, cost and risk evaluation, and supportability and effectiveness analyses
+ Monitor and report HPC system health/metrics. Identify performance bottlenecks. Modify system wide parameters to improve performance. Evaluate effects of those changes. Gather data and report finding to senior HPC engineers
+ Assist software developers to install, fine-tune, and debug software
+ Manage/Assist technical users running analysis on HPC systems
+ Collaborate with Security and follow its guideline to design and implement cybersecurity solutions
This requisition may be filled at a higher grade based on qualifications listed below
NGFeaturedJobs
This requisition may be filled at either a Principal Level or a Sr. Principal Level.
**Basic Qualifications for a Principal HPC Linux Engineer are:**
+ 5 years of experience with a Bachelors degree; 3 years of experience with Masters; 0 Years with a PhD; an additional 4 years of experience can be considered in lieu of a degree
+ Working experience with C, C++, and Python programming languages
+ Must have the ability to obtain and maintain DoD 8570 (Security+) certification
+ Active DoD Secret clearance with the ability to obtain TS Clearance as condition of continued employment
+ Ability to be cleared to special access programs
+ Experience with RedHat Enterprise Linux OS
**Basic Qualifications for a Sr. Principal HPC Linux Engineer are:**
+ 9 years of experience with a Bachelors degree; 7 years of experience with Masters; 4 Years with a PhD; an additional 4 years of experience can be considered in lieu of a degree
+ Working experience with C, C++, and Python programming languages
+ Must have the ability to obtain and maintain DoD 8570 (Security+) certification
+ Must have an active DoD Secret or Top Secret Clearance with the ability to obtain Special Program Access (SAP) prior to starting.
+ Experience with RedHat Enterprise Linux OS
**Preferred Qualifications:**
+ Master of Science degree in Computer engineering, computer science, or system engineering discipline from an accredited college or university.
+ Good written and verbal communication, mentoring experience, and good interpersonal skills.
+ Prior experience working with clusters or supercomputers.
+ Hands-on experience HPC hardware diagnostic and repair
+ Experience with Software compilation process (compilers, GNU Make, CMake...etc)
+ Familiar with cybersecurity requirements and methodologies.
+ Working knowledge of JSIG and STIG.
+ Experience with various MPI implementations, IntelMPI, OpenMPI, MPICH
+ Experience with InfiniBand and the InfiniBand protocol
+ Experience with Nvidia CUDA libraries and GPUs
+ Experience with job schedulers, such as Slurm or PBS
+ Experience with global and parallel files systems, such as Lustre
+ Familiarity with HPC cluster management solutions, such as Bright or OpenHPC
**Salary Range:** $107,300 - $160,900
**Salary Range 2:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Woodland Hills, CAR10118161CaliforniaCANorthrop Grumman (DoD SkillBridge) - Principal / Sr. Principal HPC Linux EngineerNoneF538D46D66344F16A29EA4E59E4527D4http://northropgrumman-veterans.jobs/F538D46D66344F16A29EA4E59E4527D423LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Northrop Grumman Mission Systems (NGMS) Advanced Processing Solutions Business pushes the boundaries of innovation, redefines the leading edge of exotic new technologies, and drives advances in the sciences. One of our most challenging new fields is Transformational Computing, which combines the unique properties of superconductivity and quantum mechanics to develop radical new energy-efficient computing systems. Our team is chartered with providing the skills to transform computing beyond Moore's Law, advancing development of computer architectures, processing/memory subsystems, and large-scale high-performance computing systems. You will work in a fast-paced team environment alongside a broad array of scientists and engineers to make these processing solutions a reality and deliver remarkable new advantages to the warfighter.
Northrop Grumman Mission Systems Sector (NGMS) is a leading global provider of secure software-defined, hardware enabled mission systems. Our company is pioneering capabilities in a wide variety of sectors that keep our nation and our allies' safe from undersea to space and cyberspace. NGMS is seeking Software Engineers with demonstrated ability to lead development of new technologies to support our innovative Transformational Computing team to help develop software in support of emerging supercomputing technologies.
The software engineers will be developing and supporting the next-generation supercomputing capabilities including simulations, algorithms, test automation, and data management and analysis capabilities. As a Staff Software Engineer at Northrop Grumman, you will have a challenging and rewarding opportunity to be a part of our Enterprise-wide digital transformation. Using Model-based Engineering, DevSecOps, and Agile practices we continue to evolve how we deliver critical national defense products and capabilities for the warfighter. Our success is grounded in our ability to embrace change, move quickly and continuously drive innovation. The successful candidate will be collaborative, open, transparent, and team-oriented with a focus on team empowerment & shared responsibility, flexibility, continuous learning, and a culture of automation.
The selected individual requires expert level software development skills and will be responsible for leading design teams in all phases of the software development lifecycle including design, development, and test. Candidates should have a solid foundation with best practices in software design, Continuous Integration / Continuous Deliver (CI/CD) tools and processes, and object-oriented programming. Candidates should be familiar with the Agile methodology of software development.
All job functions require close teamwork across functional disciplines and integrated product teams in a fast-paced environment. This program area is expanding which offers the potential for future growth opportunities (technical lead, software architect, etc.) for candidates who successfully demonstrate exceptional performance and leadership.
This position **requires onsite work at our Linthicum, MD and/or Annapolis Junction, MD locations** .
**Basic Qualifications Level 3:**
+ Bachelor's Degree in Computer Science, Computer Engineering, Electrical Engineering, or comparable degree
+ A minimum of 5 years of experience in software development (3 years with a MS degree; 0 years with PhD)
+ Experience with a wide range of object-oriented programming languages such as Python, Java, C++, Matlab, etc.
+ Ability to learn, contribute to, and debug large codebases and propose ways to optimize and employ best practices
+ Experience with the agile software development lifecycle
+ Experience developing and communicating software architecture constructs
+ **Candidates must be a US Citizen with the ability to obtain and maintain a US DoD TS/SCI clearance**
**Basic Qualifications Level 4:**
+ Bachelor's Degree in Computer Science, Computer Engineering, Electrical Engineering, or comparable degree
+ A minimum of 9 years of experience in software development including time in a lead role (7 years with a MS degree; 4 years with PhD)
+ Extensive experience with a wide range of object-oriented programming languages such as Python, Java, C++, Matlab, etc.
+ Ability to learn, contribute to, and debug large codebases and propose ways to optimize and employ best practices
+ Experience with the agile software development lifecycle
+ Experience developing and communicating software architecture constructs
+ **Candidates must be a US Citizen with the ability to obtain and maintain a US DoD TS/SCI clearance**
**Preferred Qualifications:**
+ Capable of effective communication in a highly technical environment
+ Experience with full stack web development and RESTful web services
+ Experience with relational and/or noSQL databases
+ Experience with UI design and development
+ Experience with test automation and instrument control
+ Experience with continuous integration tools such as GoCD, Jenkins, Artifactory and version control tools such as Git
+ Experience with server tool deployments and maintenance
+ Experience with deriving software requirements
+ Experience with creating design artifacts such as UML and SysML
+ **Active TS/SCI Clearance**
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10146912MarylandMDPrincipal/Sr Principal Engineer SoftwareNone122E92B7F516437A8218A871B8CA99CFhttp://northropgrumman-veterans.jobs/122E92B7F516437A8218A871B8CA99CF23BaltimoreNorthrop GrummanUnited StatesUSA2024-03-27 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems Sector, located in **Linthicum, MD** is seeking a **Manager Electronics Engineering 2** for the Antenna Test Engineering Operations & Product Development Labs team.
The dual-role manager position comprises both group management duties as well as the performance of individual productive work on several programs and capital projects. The Manager will spend around 25% of their time on support of the organization (people leadership) and around 75% of their time on technical program work.
Management responsibilities : The Antenna Test Engineering Manager will lead a team of 10-15 test software and hardware development engineers who work on various programs, performing antenna test equipment designs and integration with new hardware, engineering and production software development, and antenna test production support. The Manager will perform yearly goal setting; evaluate performance; enable skill development and career growth; monitor compliance with department processes and company values; provide team leadership and engagement; and approve weekly time charging. The Manager will also interact with program business areas to coordinate staffing and other program support (e.g. proposal reviews, customer meetings, capital and NCTA planning). Strong team leadership qualities including excellent communication and organizational skills are needed.
Technical responsibilities : The manager will be responsible for technical program work which is comprised of leading projects for a program or capital funded project. This includes effective management oversight, managing manpower and providing technical oversight and engineering direction to the project as well as communicating the project's status to Program or Business Management. The Manager will work with the engineers on their team to support the programs, test software and test equipment design related to phased array testing, troubleshoot RF issues, support root cause corrective actions , with a sensitivity to cost, schedule and quality.
The successful candidate will be able to develop and maintain good working relationships with internal and external stakeholders. Will have an ability to operate in a team environment and collaborate across the sector business areas as needed to accomplish team and department goals. Will be able to build diverse and inclusive teams with high levels of engagement, including mentoring and coaching.
**Basic Qualifications:**
+ Bachelor's Degree in Electrical Engineering, Systems Engineering, Software Engineering, Computer Engineering, or other related technical curriculum plus 9 years of relevant experience; or Master's Degree in Electrical Engineering, Systems Engineering, Software Engineering, Computer Engineering, or other related technical curriculum plus 7 years of relevant experience
+ Experience leading/managing tasks, projects and/or people
+ Experience with software development
+ Must have a current, active Department of Defense (DoD) Secret Clearance with the ability to obtain a Special Access Program (SAP) clearance
+ U.S. citizenship
**Preferred Qualifications** :
+ Previous antenna design or test experience
+ Experience with software development for controlling test equipment and for data analysis
+ Functional manager, program manager, IPT lead, and/or other significant leadership role that included people and technical leadership as well as project cost and schedule responsibilities
+ Experience designing and building test equipment
+ Experience designing and managing test facilities, working with facilities engineering and facility design teams
+ Experience with software development
RFTBWI, MANUMS
**Salary Range:** $145,000 - $217,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10142812MarylandMDManager Electronics Engineering 2None1F5CB7D46F3A4F4085AC7A80A307257Ehttp://northropgrumman-veterans.jobs/1F5CB7D46F3A4F4085AC7A80A307257E23LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists. The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The program is an opportunity for transitioning service members to gain valuable civilian work experience through an internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military Internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman during their internship as they continue to receive military compensation and benefits as active-duty service members. We've developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance. During this program you will be working on-site in an entry to mid-level role. You will be receiving on-the-job training 40 hours per week.
**DoD SkillBridge Overview:**
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Department of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members. During this program you will be on-site at a Northrop location performing an internship in an entry to mid-level career type role. You'll have on-the-job training supporting a work schedule equivalent to 40hrs per week. This program is specifically designed to offer internships that result in the high potential to transition to a full-time opportunity as the conclusion of the training. Outlined below are the Goals, Objectives, and Outcomes for the program.
**Goals** - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
**Objectives** - Service Members who complete the Intern program will be trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
**Outcome** - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**SkillBridge Eligibility:**
+ Has served at least 180 days on active duty
+ Is within 12 months of separation or retirement
+ Will receive an honorable discharge
+ Has taken any service TAPS/TGPS
+ Has attended or participated in an ethics brief within the last 12 months
+ Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
**Location:**
All SkillBridge Opportunities are located in Linthicum/Baltimore, MD
**RF Engineering:**
Northrop Grumman Mission Systems (NGMS) sector delivers a decisive advantage to its customers through software-defined, hardware-enabled solutions. The Electrical Assembly Design & Verification section in Linthicum, MD enables these hardware solutions by providing RF microwave and mixed signal architecture, design, verification, and support expertise across a wide variety electronic sensor products. Our engineers work on complex, leading edge, high reliability electronics that support maritime, ground, airborne, and space platforms.
**What You Will Do:**
+ Designing, modeling, and verifying RF, microwave, and mixed signal subsystem assemblies
+ Utilize analysis, simulations and prototyping to develop antenna designs including phased arrays
+ Predicting assembly level performance through selecting materials, components, and packaging approaches, RF chain analysis, electromagnetic simulation, data collection and analysis, and other modeling techniques
+ Working directly with component level design peers developing custom RF integrated circuit designs to meet assembly-level requirements
+ Collaborating with peer functions such as Mechanical, Manufacturing, Quality, Supply Chain, and Test
+ Utilizing world class manufacturing capabilities both on-site and within the broader company for prototyping through full rate production from the foundry level to microelectronic packaging to printed circuit board fabrication and assembly to subsystem level integration and test
+ Working directly with a wide variety of different external supplier partners including leading domestic foundries, printed circuit board fabricators and assemblers, component manufacturers, material fabricators, and more
+ Supporting products throughout the product life cycle
+ RF and mixed signal product types include antenna radiators, circulators, device interposers, filters, manifolds, receiver / exciter assemblies, signal conditioning assemblies, signal generators, and transmit / receive assemblies
**Basic Qualifications**
+ Principal RF Engineer: Electrical Engineering or related STEM field degree: Bachelor of Science with 5 years of RF/microwave experience; Master's degree with 3 years of RF/microwave experience; or PhD degree
+ Senior Principal RF Engineer: Electrical Engineering or related STEM field degree: Bachelor of Science with 9 years of RF/microwave experience; Master's degree with 7 years of RF/microwave experience; or PhD and 4 years
+ Proven knowledge of electrical engineering design software and equipment
+ US Citizenship is required, and the ability to obtain and maintain a DoD Secret (or higher) Security Clearance
**Preferred Qualifications:**
+ Secret or Top Secret / SCI security clearance
+ Industry experience in one or more of the following areas:
+ RF lab equipment such as network analyzers, spectrum analyzers, signal generators, power meters, etc.
+ Electronic troubleshooting from component to assembly levels
+ Product life cycle support from concept through production support
+ Designing for high reliability, mil/aero requirements and environments
+ AESA / phased array, Radar, SIGINT, or SATCOM experience
+ Software tools: Mentor Xpedition DX Designer, ANSYS HFSS, Keysight ADS, Keysight Genisys, MathWorks MATLAB, Autodesk AutoCAD, and Microsoft Office Suite (Excel, PowerPoint, Project, Word)
**Salary Range:** $99,800 - $149,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10112582MarylandMD(DoD SkillBridge) RF / Hardware EngineerNone20AFE12AE74E431CAAEC69FA749E9698http://northropgrumman-veterans.jobs/20AFE12AE74E431CAAEC69FA749E969823LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**escription**
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Northrop Grumman Mission Systems has an opening for Systems Engineers to join our **Advanced Mission Capabilities** Systems Engineering team of qualified, diverse individuals at our Linthicum/Baltimore, MD campus to fill roles on multiple development programs. Qualified candidates will apply strong systems engineering knowledge and understanding in the areas of algorithm development, signal processing, performance modeling, and sensor simulation working with various Systems, Hardware, and Software teams. We encourage our engineers to be innovative in solving problems and identifying improvements.
*This position requires **100% on-site** work due security constraints.
*This position is contingent upon the ability to obtain/maintain DoD Secret Clearance and Special Program Access (SAP) prior to starting.
Roles & Responsibilities : This position is primarily engaged in the development and fielding of advanced signal processing techniques, algorithms and system performance models of Multifunction Radar sensors. Key features of operation include the design and modeling of signal processing algorithms to implement and support a wide variety of modes, such as search and track waveforms, identification and recognition, imaging, and electronic surveillance measure returns. This can also include designing models of RF architectures and environment, allowing accurate determination of mode performance.
Candidates should be highly motivated engineers who can work both independently and in team environments. **At the Systems Engineer (T03/ T04) level, the individual will be expected to work with some guidance from staff engineers and mentors to independently solve technical challenges.** Systems Engineers at this level will also start to have some interactions across discipline and organizational boundaries as well as customer interaction through presentations and working group meetings. Early in the system lifecycle, systems engineers develop parametric representations, visualizations and data driven analysis to support sensor requirements definition and use case validation.
Progressing past analysis and design, Northrop Grumman Systems Engineers also support the integration of sensor modes and algorithms from digital simulation environments to sensor labs to our on-site fleet of specially modified test aircraft. Throughout this process, our Systems Engineers engage with our customers to balance their functional and performance requirements with other sensor functionality to enable true multi-function operation.
**Principal Systems Engineer Required Experience:** 5 years with Bachelor's Degree in a STEM discipline - Electrical Engineering, Systems Engineering, Software Engineering, Computer Engineering, Physics, Math, or other related technical curriculum (see position summary); 3 years with a Master's Degree; an additional 4 years of experience may be considered in lieu of a degree.
+ **Obtain DoD Secret security clearance prior to starting (or have Active clearance already)**
+ **Able to obtain approval for special access programs prior to starting**
+ Experience with MATLAB for modeling and data analysis
+ Experience with algorithms and techniques for RF sensor signal processing
+ Experience in C++, or equivalent programming/analysis languages
+ Working knowledge of systems engineering principles and processes and effective communication skills.
+ US Citizenship is required.
**Senior Principal Systems Engineer Required Experience:** 9 years with Bachelor's Degree in a STEM discipline - Electrical Engineering, Systems Engineering, Software Engineering, Computer Engineering, Physics, Math, or other related technical curriculum (see position summary); 7 years with a Master's Degree; 4 years with a Ph.D; an additional 4 years of experience may be considered in lieu of a degree.
+ **Obtain DoD Secret security clearance prior to starting (or have Active clearance already)**
+ **Able to obtain approval for special access programs prior to starting**
+ Experience with MATLAB for modeling and data analysis
+ Experience with algorithms and techniques for RF sensor signal processing
+ Experience in C++, or equivalent programming/analysis languages
+ Working knowledge of systems engineering principles and processes and effective communication skills.
+ US Citizenship is required.
**Preferred Qualifications:**
+ Active DoD Top Secret Clearance
+ Has been previously or is currently approved for special access programs
+ Master's Degree in previously stated areas with included electives in Radar techniques, filtering, and signal processing
+ Higher level experience developing sensor simulations or algorithms for RF sensors
+ Greater programming experience developing with MATLAB and C/C++ in Windows and Linux environments
+ Proficiency with optimal estimation algorithms, sensor waveforms, adaptive processing, or AI/ML algorithm development
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
**The health and safety of our employees and their families is a top priority** . The company encourages employees to remain up-to-date on their COVID-19 vaccinations. U.S. Northrop Grumman employees may be required, in the future, to be vaccinated or have an approved disability/medical or religious accommodation, pursuant to future court decisions and/or government action on the currently stayed federal contractor vaccine mandate under Executive Order 14042 https://www.saferfederalworkforce.gov/contractors/ .
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
*This position is contingent upon the ability to obtain/maintain DoD Secret Clearance and Special Program Access (SAP) prior to starting.
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10143881MarylandMDPrincipal/ Sr. Principal Radar Systems Engineer (Advanced Mission Capabilities)None3433D1E989754761A34343EB527A3049http://northropgrumman-veterans.jobs/3433D1E989754761A34343EB527A304923RoyNorthrop GrummanUnited StatesUSA2024-03-27 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a MBSE Systems Engineer Architect/Principal Systems Engineer Architect in support of the Sentinel program. Northrop Grumman supports the Air Force's sustainment, development, production and deployment of hardware and system modifications for Intercontinental Ballistic Missile (ICBM) Ground and Airborne Launch Control Systems, Launch Facilities, and associated infrastructure. This position is located: Roy, Utah. This position may be filled at a higher level based on qualifications listed below.
**What You'll Get To Do**
The Sentinel program has an exciting opportunity for a MBSE Systems Engineer responsible for ensuring and enforcing consistency on the Model-Based implementation of the architecture design.
**Specific duties to include, but are not limited to the following:**
+ Maintain structure and arrangement of the Cameo Model and remove inconsistent architectural artifacts.
+ Create new and adapt existing models, methods, and analysis capabilities to conduct studies to support the design, development, and assessment of advanced system design concepts and technologies.
+ Perform routine audits and provide findings to delinquent architects on the team.
+ Remove all deprecated and duplicative design elements and errant relationships.
+ Responsible for initial and subsequent synchronizations of data into the model from external databases.
+ Identify areas and methods for improvement on overall model organization and performance.
+ Serve as direct point of contact to the System and other IPT-level model governors and model governance boards.
+ Ensures architecture team consistency to overall Program Style and process guides.
**Position Benefits**
+ As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package.
**Basic Qualifications:**
This position may be filled at a Systems Engineer Architect level or Principal Systems Engineer Architect level
+ Bachelor's degree in a Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university and 2 years of experience,; (Principal level requires Bachelor's degree and 5 years, 3 years with Master's degree, 2 years of experience with PhD;
+ Must have a minimum of 2 years of experience developing or reviewing requirements within DOORS or similar tool
+ Must be a US Citizen with an active DoD Secret Clearance with an investigation date within the last 6 years
+ Must be able to be successfully screened for Enhanced Security Clearances, within a reasonable amount of time as determined by the company to meet its business needs
+ Experience with Model-Based Engineering / Model-Based Systems Engineering (MBE/MBSE) practices, languages and/or tools such as Cameo, Rhapsody or MagicDRAW
+ Experience with requirements management/analysis/allocations
**Preferred Qualifications:**
+ Active DoD Top Secret Clearance
+ Understanding of the Systems Engineering V-Model
+ Experience using requirements management tools such as DOORS
+ Experience with DoDAF standards and methods
+ Experience with SysML, UML, or other modeling languages
+ Experience in Software and/or Hardware design
+ Experience in documenting Interface Control Documents, Interface Requirement Specifications, and Interface Description Documents
+ Experience in the military aerospace development environment
+ Experience with weapon systems
+ Experience exporting documentation out of a model-based environment
+ Experience with Agile Development
+ Experience with ICBM weapon system sustainment engineering and integration
+ Experience with complex system development on large programs
\#GBSDsystems
**Salary Range:** $75,300 - $112,900
**Salary Range 2:** $92,600 - $139,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10145929UtahUTSentinel - Systems Verification Engineer/Principal Systems Verification Engineer MBSE (11927 12375) (MWSE)None34426127DCF9479BBCB558780E09E86Bhttp://northropgrumman-veterans.jobs/34426127DCF9479BBCB558780E09E86B23Rolling MeadowsNorthrop GrummanUnited StatesUSA2024-03-27 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**NG- Military Internship Program Description:**
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29 .The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members **.**
**Responsibilities for this internship position are:**
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for SkillBridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
**Goals** - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
**Objectives** - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
**Outcome** - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
+ Has served at least 180 days on active duty
+ Is within 12 months of separation or retirement
+ Will receive an honorable discharge
+ Has taken any service TAPS/TGPS
+ Has attended or participated in an ethics brief within the last 12 months
+ Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
**Job Description:**
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our Engineering and Sciences (E&S) organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
We are looking for you to join our team as a **Systems Engineer** based out of **Rolling Meadows, IL.**
**What You'll get to Do:**
+ Performs technical planning, system integration, verification and validation, cost and risk, and supportability and effectiveness analyses for total systems.
+ Analyses are performed at all levels of total system product to include: concept, design, fabrication, test, installation, operation, maintenance and disposal.
+ Ensures the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints.
+ Performs functional analysis, timeline analysis, detail trade studies, requirements allocation and interface definition studies to translate customer requirements into hardware and software specifications.
**Basic Qualifications:**
+ BS degree in Electrical Engineering, Systems Engineering, or related technical degree (STEM degree) with 2+ years related experience or MS degree in Electrical Engineering, Systems Engineering, or related technical degree (STEM degree required)
+ Demonstrated proficiency in analytical thinking, problem solving skills and Systems Thinking mindset
+ Excellent decision making and communication (written and oral) skills
+ Strong work ethic and the ability to work in an integrated product team (IPT) environment.
+ US Citizen and must have Active Secret Clearance.
**Preferred Qualifications:**
+ Recent experience creating integration and test, design verification test and flight test plans and procedures.
+ Experience in an integration and test environment for complex electronic systems evaluation
+ Experience creating integration and test, design verification test and flight test plans and procedures.
+ Experience turning concepts into reality through MATLAB implementation of new technologies
+ Knowledge of C, C++, CUDA, VHDL, OpenCL, SysML, Python, or other scripting languages
+ Experience with Model Based Engineering (MBE) concepts and tools (Rhapsody)
+ Working knowledge of DOORS and ClearCase/ClearQuest
+ Experience in Electronic Warfare RF systems with real-time digital signal processing and threat scenario analysis
+ Understanding of RF propagation modeling, computational electromagnetics, wave theory, antenna design, and RF chain analysis.
+ Working knowledge of one of more of the following specialty areas for integration and test related to EO/IR/RF systems: sensors/antennas, transmitters/receivers,optics, detection and tracking.
+ Active TS clearance
**Salary Range:** $83,300 - $124,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Rolling Meadows, ILR10119727IllinoisILDoD Skillbridge Systems Engineer Rolling Meadows ILNone370B7218E8DE4029BED9B9AF392D7079http://northropgrumman-veterans.jobs/370B7218E8DE4029BED9B9AF392D707923RoyNorthrop GrummanUnited StatesUSA2024-03-27 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking an AVE Segment Lab Senior/Principal Test Engineer. This position will be located in Roy, UT and will support the Sentinel - Ground Based Strategic Deterrent (GBSD) program. This role may offer a competitive relocation assistance package.
**What You** **'** **ll Get To Do:**
- Develop customer contractual needs and requirements into test solutions that acknowledges technical schedule and cost constraints.
- Develop and direct preparation and execution of comprehensive test plans, procedures and schedules.
- Review and evaluate test requirements for completeness of test program.
- Coordinate with Subject Matter Experts, Element Test teams, System Test teams, and other stakeholders to identify test objectives, test requirements, and risks that require test to mitigate
- Supporting development of instrumentation requirements for Hardware in the Loop testing.
- Identify test support requirements and coordinate with Design & Integration team for budget and personnel needs
- Overseeing test activities in support or requirement verification and validation.
- Conduct daily briefing and approve test start for each test event.
- Approve Break of Configuration on the test floor
- Conduct table top reviews and dry run test procedures with test team prior to execution
- Coordinate and conduct Technical and Safety Review Boards, and Test Readiness Reviews
- Support customer Test Plan Working Groups
- Prepare Test Planning Team charters
- Coordinate with Quality organization for test support
- Review and approve major test amendments
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
• Medical, Dental & Vision coverage
• 401k
• Educational Assistance
• Life Insurance
• Employee Assistance Programs & Work/Life Solutions
• Paid Time Off
• Health & Wellness Resources
• Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**You** **'** **ll Bring These Qualifications:**
**Principal System Test Engineer**
• 5 Years with Bachelors in Science; 3 Years with Masters; 0 Years with PhD. An additional 4 years of experience may be considered in lieu of a degree.
• US Citizenship with an Active Secret Clearance or higher (awarded within the past 6 years) with the ability to receive a Special Access Program (SAP) certification.
• Experience working with Model Based System Engineering principles, using software similar to CAMEO
• Knowledge/experience with AF Test Directive 99-103
• Experience with ICBMs (MM, PK, Small Missile) or DOD programs
**Senior Principal System Test Engineer**
• 9 Years with Bachelors in Science; 7 Years with Masters; 4 Years with PhD. An additional 4 years of experience may be considered in lieu of a degree.
• US Citizenship with an Active Secret Clearance or higher (awarded within the past 6 years) with the ability to receive a Special Access Program (SAP) certification.
• Experience working with Model Based System Engineering principles, using software similar to CAMEO
• Knowledge/experience with AF Test Directive 99-103
• Experience with ICBMs (MM, PK, Small Missile) or DOD programs
**These Qualifications Would be Nice to Have:**
• Bachelors degree or higher in Computer Science, Electrical Engineering or Mechanical Engineering.
• US Citizenship with an Active Top-Secret Clearance or higher (awarded within the past 6 years) with the ability to receive a Special Access Program (SAP) certification.
• Knowledge of system integration and test principles and processes
• Knowledge of Systems Engineering principles, DOORS database, requirements decomposition and allocation
• Experience coordinating/collaborating to define test objectives and test requirements for test activities in the Hardware in the Loop Labs.
• Experience developing, planning, and executing qualification and acceptance test plans, as well as supporting design and test reporting and reviews
• Experience developing and updating detailed integration test plans (ITP)
• Experience generating test procedures and test readiness reviews (TRRs)
• Experience creating test Concept of Operations (ConOps) flows that maximizes the utility of test resources while meeting schedule and technical requirements and/or constraints
• Generating and supporting test data decomposition and test reports to other IPTs. Assist in consolidating and processing of final test data for requirements verification/model validation sign-off
• Excellent leadership, communications, and interpersonal skills.
• Experience coordinating integrated testing activities with multiple test teams.
• Strong understanding of entire product lifecycle, modeling and engineering best practices
\#GBSDintandtest
**Salary Range:** $82,000 - $123,000
**Salary Range 2:** $101,600 - $152,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10109850UtahUTSentinel (GBSD) AVE Segment Lab Senior/Principal Test Engineer - 9717*None3F1B004601404704AF30A0336E2BA096http://northropgrumman-veterans.jobs/3F1B004601404704AF30A0336E2BA09623LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
Northrop Grumman Mission Systems is seeking a Principal / Sr. Principal Systems Engineer to join our team of qualified, diverse individuals at our Linthicum/Baltimore, MD campus.
As part of Northrop Grumman's Advanced Mission Capabilities organization, the qualified candidate will have the opportunity to develop solutions for our customer's most difficult technical challenges. This team is responsible for supporting the development of highly adaptive, software defined, hardware enabled systems across a variety of domains. Candidates must be self-motivated systems thinkers that can work as part of a multidisciplinary engineering team.
Continue to develop your technical career with a leader in next generation sensor technology. Northrop Grumman provides the opportunity to continue your education, work side-by-side with experts in the industry, and change the future of protecting warfighters across the globe.
**Roles & Responsibilities:**
This position will allow for participation throughout the systems engineering process leveraging the digital thread and model-based systems engineering approaches. This specific role will entail:
+ Working in a high-energy, fast-paced, agile work environment
+ Acting as the "Core Systems" IPT Lead covering SysML modeling, requirements, verification, LSE engineering, and CM/DM
+ Modeling the system itself and the high-fidelity performance model of the system in Cameo using SysML
+ Requirements development and derivation
+ Creation of the digital thread throughout the systems engineering process
+ Maintaining the digital thread through formal testing, high-fidelity system performance modeling, and full-scale system tests
**Basic Qualifications for a Principal Systems Engineer:**
+ Bachelor's Degree with 5 years of experience, Master's degree with 2 years of experience in Electrical Engineering, Computer Engineering, Computer Science or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S Citizenship
+ Active DoD Secret Clearance and contingent on a Special Access Program (SAP) Clearance
+ Experience with Cameo or similar Model-Based Systems Engineering (MBSE) Tools
+ Ability to work full-time onsite
**Basic Qualifications for a Sr. Principal Systems Engineer:**
+ Bachelor's Degree with 9 years of experience, Master's degree with 7 years of experience; PhD with 4 years of expiernec in Electrical Engineering, Computer Engineering, Computer Science or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S Citizenship
+ Active DoD Secret Clearance and contingent on a Special Access Program (SAP) Clearance
+ Experience with Cameo or similar Model-Based Systems Engineering (MBSE) Tools
+ Ability to work full-time onsite
**Preferred Qualifications:**
+ Master's Degree in Engineering, Physics, Mathematics or Computer Science with at least 3 years related experience
+ Experience working in an Agile (Scrum) team and work environment
+ Experience with DOORS or similar requirements management tools
+ Experience with object-oriented modeling, simulation, and analysis (MS&A) in C++ or MATLAB
+ Experience with RF systems engineering
+ Experience working across disciplines including:
+ Systems Engineering
+ Integration & Test (I&T)
+ Modeling, Simulation, & Analysis
+ Hardware (HW), Software (SW), Firmware (FW), the their integration
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
This position is contingent upon the successful transfer of an active DoD Secret Clearance and the ability to obtain Special Program Access (SAP) prior to start.
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10146916MarylandMDPrincipal / Sr. Principal Systems Engineer - MBSENone4F40B5A1EF9A4DCF9C9E9EF23078D302http://northropgrumman-veterans.jobs/4F40B5A1EF9A4DCF9C9E9EF23078D30223BeavercreekNorthrop GrummanUnited StatesUSA2024-03-27 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems (NGAS) has an opening for a **Principal RF Microwave Design Engineer / Sr. Principal RF Microwave Design Engineer** to join our team of qualified, diverse individuals supporting **Beavercreek, (Dayton) OH.** We are a world-class manufacturer of composite structures, radomes, and antenna systems for military applications. We design and manufacturer solutions for our war fighters to keep our country safe and maintain peace on a global scale.
The **Principal RF Microwave Design Engineer / Sr. Principal RF Microwave Design Engineer** will be a part of our Electrical Engineering group and will have the opportunity to work on state-of-the-art technologies, primarily for classified applications, in both team and individual settings. Our multi-faceted team consists of engineers and scientists with backgrounds in LO technology, Computational Electromagnetics Modeling, RF Test, Mechanical, Structural and Thermal analysis, Computational Fluid Dynamics, Material Science and Materials Engineering, Process and Manufacturing Engineering.
The ideal candidate for this role will enjoy both theoretical design and hands-on engineering tasks. He / She is an inquisitive problem solver, self-driven, and determined with a positive attitude, has a broad range of skills involving electromagnetic applications and enjoy diving deeper into Low Observable challenges. If you are looking for an opportunity to grow with a leader in the Aerospace Industry, this is the perfect opportunity for you!
***This is a 1st shift position working a 9/80 schedule with every other Friday off to pursue your interests outside of the office! This position requires onsite presence due to the nature of the role.**
**Essential Functions / Responsibilities:**
+ Perform design and analysis of electromagnetic devices, primarily but not limited to antennas and radomes.
+ Develop technical solutions to a wide range of complex challenging requirements.
+ Engage with multidisciplinary engineering teams.
+ Support technical design reviews and provide guidance to the program office.
+ Support engineering product build and process planning.
+ Author test procedures and test reports.
+ Support compliance qualification and recurring test event.
+ Perform roadmap-focused research, design, prototyping, and test of advanced electromagnetic apertures, structures, and materials.
****This role may be filled at Principal RF Microwave Design Engineer (Level 3) or Sr. Principal RF Microwave Design Engineer (Level 4).**
**Basic Qualifications for Principal RF Microwave Design Engineer (Level 3):**
+ Bachelor's degree Electrical Engineering or Physics with 5 years of relevant experience, OR Masters' degree in Electrical Engineering or Physics with 3 years of relevant experience.
+ Experience conveying technical information (both written and verbal).
+ Experience working within MS applications i.e., MS Word and Excel.
+ Ability to obtain Special Program Access within a reasonable timeframe as required by the business.
+ Ability to obtain and maintain a DoD Secret Security Clearance within a reasonable timeframe as required by the business.
**Basic Qualifications for Sr. Principal RF Microwave Design Engineer (Level 4):**
+ Bachelor's degree Electrical Engineering or Physics with 9 years of relevant experience, OR Masters' degree in Electrical Engineering or Physics with 7 years of relevant experience, OR PHD Electrical Engineering or Physics with 4 years of relevant experience
+ Experience conveying technical information (both written and verbal)
+ Aerospace industry experience, particularly hands-on with Low Observable structures.
+ Experience working within MS applications i.e., MS Word and Excel.
+ Ability to obtain Special Program Access within a reasonable timeframe as required by the business.
+ Ability to obtain and maintain a DoD Secret Security Clearance within a reasonable timeframe as required by the business.
**Preferred Qualifications for Principal RF Microwave Design Engineer /Sr. Principal RF Microwave Design Engineer** ( **Levels 3 & 4):**
+ Active DoD Secret Security Clearance
+ Electrical Engineering degree with a concentration in Electromagnetics
+ Experience in the design of Periodic Structures / Frequency Selected Surfaces (FSS)
+ Experience with EM software analysis tools (e.g., Ansys HFSS, CST, FEKO)
+ Basic programing experience (e.g., Matlab)
+ Understanding of S-parameters, Antenna Pattern measurements, and RCS measurements
+ Experience working with RF test equipment such as Network Analyzers, SCI-2K, Transmission Tunnel, Std Waveguides, Reflection Arch, and Resonant Cavities
**What we offer:**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer comprehensive benefits including competitive medical and dental options, a great 401K matching program, college education assistance, annual bonuses, 14 Employee Resource Groups inclusive of all employees, and opportunities for career advancement across North America!
**Salary Range:** $95,100 - $142,700
**Salary Range 2:** $117,900 - $147,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Beavercreek, OHR10109636OhioOHPrincipal/Sr. Principal Engineer RF Microwave DesignNone6EEA72E0E21B4DDC9B93CFE3AD41AF44http://northropgrumman-veterans.jobs/6EEA72E0E21B4DDC9B93CFE3AD41AF4423RoyNorthrop GrummanUnited StatesUSA2024-03-27 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking an **AVE GN&C Systems Engineering Manager 2** to join our team of highly qualified and diverse individuals and lead the Guidance, Navigation and Control (GN&C) Systems Engineering effort. Northrop Grumman supports the Air Force's sustainment, development, production and deployment of hardware and system modifications for Intercontinental Ballistic Missile (ICBM) Ground and Airborne Launch Control Systems, Launch Facilities and associated infrastructure. This role will be at the Manager 2 level and will support the Sentinel program. This position will be located in **Roy, UT** .
**What You'll Get To Do**
As the AVE GN&C Systems Engineering Manager, you will be responsible for daily operations of a team or work unit (direct supervision of the staff, assignment of work, schedules, day to day workflow, and operating costs). Program Manager Responsibilities include cost, schedule, and technical performance of a specific unit or work package on a large system development-type contract or broad responsibility for all aspects of program performance on a delivery order or small technical services-type contract. This role is estimated to be 80% technical and CAM duties and 20% functional management activities. Assignments are received in task-oriented terms. You provide direction to team using established policies and precedents.
**Specific duties to include, but are not limited to the following:**
+ Analysis & interpretation of procedures and practices
+ Decision making relating to accomplishment of daily work responsibilities
+ Monitors work operations of exempt and/or non-exempt employees daily and actively assists or provides direction to employees as required. May perform, especially in staff or professional groups, on-going operational tasks of organizational groups
+ Executes department procedures that typically affect individual employees within own department
+ Accomplishes tasks mainly through direct supervision of nonexempt and entry-level exempt employees
+ Monitors daily operations of a unit and actively assists, or provides direction to, employees as required.
+ Ensures that projects are completed on schedule following established procedures and schedules
+ Acts as liaison mainly on internal basis with employees and supervisors at equivalent level or one level higher. Liaison normally involves specific phases of a project or operation. External contacts are infrequent and involve routine matters
+ Leads a team focused on diverse deliverables including system models, requirement baselines, subsystem specification documentation
+ Supports and integrates with system design, system test, subsystem test, subsystem development, and algorithm development teams to ensure high quality and accurate products
+ Provides direction for model-based system engineering activities, specifically with respect to system hardware modeling using DoDAF in SysML, plus software model and requirement verification models using UML
+ Manages technical changes across different IPTs on the program for consistent low level technical detail
+ Manages the development and delivery of CDR artifacts for L4 and L5 CIs owned by GN&C IPT
+ Manages and tracks progress on team for sub-IPT tasking. Prioritizes and organizes work for team of roughly 8-10 engineers. Reports progress regularly and establishes metrics to measure performance of team. Provides direct intervention when and where needed to keep throughput high
+ Tracks verification development for CIs and integrate with testing teams to develop testing plans
**Position Benefits**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**You'll Bring These Qualifications:**
+ Bachelor's degree in a Science, Technology, Engineering or Mathematics (STEM) discipline and 6 years of related experience or a Masters degree in STEM with 4 years of related experience
+ At least 1 year of experience leading projects or cross-functional teams
+ 1 year experience in Program Execution, Staffing, Engineering Metrics and Training resources, Processes, and Tools.
+ 1 year ICBM experience
+ 1 year experience with any of the following tools and languages: DOORS, Rhapsody, Cameo, Prima Vera, Product Lifecycle Management tools
+ Must be a US Citizen with an active DoD Secret Clearance with an investigation date within the last 6 years
**These Qualifications Would be Nice to Have:**
+ Master's degree in Engineering, Science Technology, Engineering or Math (STEM) or related discipline
+ 2 years of experience in any combination of Communications, Cyber, Systems, Software, or Hardware engineering disciplines accompanied by moderate proficiency in technical problem solving to include requirements decomposition, root cause analysis, solution development Previous experience managing suppliers
+ Experience in People and Technical Leadership roles
+ Experience with Requirements Management: MBSE, Functional Block Diagrams, Specification Trees
+ Experience with Systems and end item requirements validation and verification
+ Experience with Systems Design, Systems Architecture, Systems Integration, N2 Analysis, Trade Studies
+ Experience with Risk Management, Cost-Risk-Benefit Analysis
+ Experience with working with government/military personnel at government/military facilities.
+ Familiarity with Agile methodologies and practices
\#GBSDLeadership
**Salary Range:** $131,200 - $196,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10144870UtahUTAVE GN&C Systems Engineering Manager 2 (11929)(FSS)None7936DF30CCC248A79CE27DDEA408547Fhttp://northropgrumman-veterans.jobs/7936DF30CCC248A79CE27DDEA408547F23MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
If you want to put your talent, experience, and passions to use and be part of something greater, you are in the right place.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems in air and space that impact people's lives around the world today, and for generations to come. Our work preserves freedom and democracy and advances human discovery and our understanding of the universe. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have a lot of fun along the way. Our culture is a part of everything we do and thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our Employees Are Not Part Of History, They Are Making History.
Join the team to develop the next generation of software for the next generation of platforms. Support development and integration of the latest technologies - AI/ML, Quantum computing, cloud, and digital design - to impact future generation aircraft. Become part of the team that will give our war fighters the advantage over our adversaries. Bring your bold new ideas, and pioneering spirit to our team to invent the future and have some fun along the way.
Northrop Grumman Aeronautics Systems has an opening for a Principal or Sr Principal Simulation Software Engineer to join our team of qualified, diverse individuals within our Software organization in **Melbourne, Fl** .
**Accomplish**
In this role you will design, develop, integrate and test software for our end-user customers and businesses. Specifically, you will be responsible for the design, architecture, application development, and flight simulation. You will work with multi-disciplinary teams, such as with Systems Engineering, Cloud & Application, Test Automation, DevSecOps and Systems Test, in an Agile software development environment. Our simulation software is critical to the current and future company projects. You will analyze system capabilities to resolve problems on program intent, output requirements, input data acquisition, programming techniques and controls.
**Essential Functions:**
• Work in an innovative environment, on significantly diverse assignments, and in collaborative team settings across all levels
• Participate in the full software development life cycle including requirements, design, implementation, qualification, and delivery of software products to our customers
• Architect and develop applications in a simulated training environment
• Be a part of Agile Scrum teams to develop software products for multiple software baselines
**Succeed**
The individual we seek will be self-motivated, proactive, goal-oriented to help us grow our services, become even better at what we do and will possess the following qualifications.
**This position can be filled at a higher grade based on qualifications listed below.**
**Basic Qualifications:**
**Principal Engineer Software:**
• Bachelor's degree in a STEM discipline (Science, Technology, Engineering or Math) with 5 years of related engineering experience; OR a Master's degree in STEM with 3 years of related engineering experience
• Experience with the full Software Development Life Cycle (SDLC) - software design requirements, application development, integration, testing, and deployment
• Experience with at least one of the following programming or scripting languages: C, C++, and Python
• Experience with two or more of the following tools: Jira, Crucible, Bitbucket, Subversion, Bamboo, Jenkins, Sonarqube, Fortify, or Coverity
• Must have an active DoD Secret or higher clearance.
• Must have the ability to obtain and maintain Special Access Program (SAP) clearance prior to the commencement of employment.
**Sr. Principal Engineer Software:**
• Bachelor's degree in a STEM discipline (Science, Technology, Engineering or Math) with 9 years of related engineering experience; OR a Master's degree in STEM with 7 years of related engineering experience
• Experience with the full Software Development Life Cycle (SDLC) - software design requirements, application development, integration, testing, and deployment
• Experience with at least one of the following programming or scripting languages: C, C++, and Python
• Experience with two or more of the following tools: Jira, Crucible, Bitbucket, Subversion, Bamboo, Jenkins, Sonarqube, Fortify, or Coverity
• Must have an active DoD Secret or higher clearance.
• Must have the ability to obtain and maintain Special Access Program (SAP) clearance prior to the commencement of employment.
**Preferred Qualifications:**
• Strong understanding of C and C++ languages including templates, memory storage, and compiler/linker
• Experience with or knowledge of Joint Simulation Environment (JSE), Distributed Interactive Simulation (DIS), or High-Level Architecture (HLA)
• Experience with Full-Motion Flight Training Systems
• Experience with simulated threat environments such as AFSIM or NGTS
• Ability to collaborate with systems engineers, hardware designers and integration/test engineers to develop and maintain complex software systems
• Excellent communication, interpersonal skills, and the ability to interface with all levels of employees and management
**Thrive with Us**
At Northrop Grumman we are invested in the growth and well-being off all our employees. We offer flexible work arrangements, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment.
Our Total Rewards Program offers a comprehensive employee benefits package, including a Retirement and Savings Plan, group medical, dental and vision coverage, life and disability insurance, and flexible spending accounts.
We have Employee Resource Groups (ERGs) that provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees!
**Salary Range:** $92,600 - $139,000
**Salary Range 2:** $114,900 - $172,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10146889FloridaFLPrincipal Engineer Software / Sr. Prinicpal Engineer Software (Melbourne)None92A790BB01814DE287D4C11656658B40http://northropgrumman-veterans.jobs/92A790BB01814DE287D4C11656658B4023MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, we Define Possible. We are a passionate community of engineers and leaders, a creative community of scientists and researchers, a diverse community of human beings, all driven to pioneer the future. And in Survivability, that future is bright... Or, from the enemy's perspective, it is as dark as the cover of a moonless night.
Now, imagine sitting in a stadium, or outside at a parade, and the next generation of Stealth Technology flies overhead, drawing a roar of admiration from the crowd. Imagine being able to turn to the person next to you and saying "I had a hand in that." At Northrop Grumman Aeronautics Systems and in Survivability Engineering, that could be Your Possible. We are tasked with developing, testing, and implementing new materials, technologies, and concepts, that enable the most lethal, intelligent, and collaborative systems to penetrate and operate in enemy airspace with impunity. We work hand in hand with a broad swath of other engineering disciplines to design, develop, manufacture, and sustain these systems. Our responsibilities span the full Systems Engineering lifecycle-from research and development to modeling and analysis, from static / component test to dynamic flight test, from manufacturing floor support to field repairs and maintenance, and more. We work across multiple spectrums-from RF (Radio Frequency), to IR (Infrared) and Visual, to Acoustic. Our engineers have the opportunity to work in various environments-behind desks, in highly specialized labs, at indoor and outdoor test and measurement ranges, and at overseas operational locations. Our team is absolutely critical to the cradle-to-grave success of our cornerstone programs and the programs of the future, and your role on our team would be equally critical to our success as an organization. Without Survivability Engineers, there is no Low in Low Observable. Without us, there is no Stealth in Stealth Bomber. Join us, and let's Define Survivable together!
**Northrop Grumman is an industry leader in Stealth Technology** . We are involved in all phases of development, production, and maintenance of Low Observable (LO) platforms. We are currently looking for **Stealth / Low Observable / Radar Cross Section (RCS) / Signature / Survivability Engineers** to work on exciting and challenging projects.
**We need your help to engineer at the edge of the impossible!**
**We would like you to bring your experience in design integration, product support or antenna integration to assist our highly skilled an innovative team.**
**Core competencies:**
+ **Use the latest classified cutting-edge technology** to reduce the detectability of aircraft in the electromagnetic, infrared, visual and acoustic spectrums.
+ **Predict** the performance of your design using proprietary analysis codes.
+ **Get you hands dirty and verify your prediction** and the design by building and testing physical models.
+ **Optimize the design for Stealth** while meeting the requirement of all other engineering disciplines.
+ **Take Responsibility** for achieving customer goal and balancing the design in an Integrated Product Team (IPT) environment.
+ **Thrive in a fast-paced work environment** with high expectations, significantly diverse assignments, and extensive collaboration.
**Basic Qualifications:**
+ Demonstrated skills and knowledge in Stealth (design integration, product support or antenna integration).
+ Must have an active DoD Secret (or higher) clearance.
+ A Special Access Program (SAP)/Program Access Request (PAR) will be initiated on the selected candidate and must be active before a start date can be established.
+ **Educational/ Experience Requirements:**
+ **Staff Survivability Engineer:** Bachelor's degree in a STEM discipline AND 14 years of related professional/military engineering experience, OR a Master's degree AND 12 years of related professional/military engineering experience.
**Preferred Qualifications:**
+ Demonstrated Knowledge and Experience using the latest classified cutting-edge technology to reduce the detectability of aircraft in the electromagnetic, infrared, visual and acoustic spectrums.
+ Experience with Northrop Grumman proprietary computational electromagnetic analysis codes or similar computational electromagnetic analysis codes used by our competitors or customers.
+ Complete understanding and capability to plan and implement Radio Frequency (RF) modeling and testing of complex classified targets at nations premier indoor/outdoor RCS/RF test facility.
+ Working knowledge of the requirements of other supporting engineering disciplines to help in achieving optimization of Stealth goals and a balanced design.
+ Strong interpersonal communication and technical writing skills to enable effective personal interaction and collaboration with a multi-disciplined team and customers.
+ Knowledge of Applied Electromagnetics / Aircraft Survivability, and a Comprehensive understanding of Electromagnetic Energy transmission though space and within materials.
+ The ability to post process complex electromagnetic data to identify and exploit trends to help our aircraft vanish from the RADAR screen.
+ Master's degree in physics, Electrical Engineering, or a related technical field.
+ A current active U.S. Government in-scope DoD Top Secret security clearance with the ability to obtain and maintain Special Program Access (PAR/SAP).
**Salary Range:** $139,000 - $208,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10134066FloridaFLStaff Survivability Engineer (Level 5)NoneC5FAFB80597B49C4A412FAAA3CDF7474http://northropgrumman-veterans.jobs/C5FAFB80597B49C4A412FAAA3CDF747423PalmdaleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**This requisition may be filled at a higher grade based on qualifications listed below.**
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Northrop Grumman Aeronautics Systems has an opening for a Systems Engineer or Principal Systems Engineer with our Test and Evaluation Organization. This role is located in **Palmdale, CA.**
In this role, you will have the opportunity to support a wide range of troubleshooting and testing within a System Integration Lab. This includes both hardware and software troubleshooting as well as hands-on system troubleshooting throughout multiple facets of the Strike System Test lab(s) here in Palmdale. Multiple data types are utilized including Mil-Std 1553, ARINC 429, Serial buses and Ethernet traffic and interfacings with various avionics. This role is part of the Lab Architecture team, which works alongside other members of the program team including, including certification testers, software engineers and other IPTs who may require support. If you are interested in utilizing your current skills and knowledge to become a valued member of our team, we would like to hear from you!
**Job Responsibilities:**
+ Ensuring ground segments serve mission objectives and mechanisms are in place to execute the tests.
+ Oversees the operational aspects of engineering tests and serves as liaison to program, engineering and line management.
+ Coordinate system checkout, maintenance, troubleshooting, technical engineering support, and anomaly resolution with system safety and quality to maintain the operational specifications of the subsystem.
+ Reviews status of test requirements, manages schedules, and prepares reports.
+ Selects and supervises system admins and subcontractor engineers during test.
+ Assesses anomalies and develops resolutions to documented procedures in conjunction with Test and Quality Engineering.
**Basic Qualifications:**
**This requisition may be filled at either a Principal Systems Engineer (Level 3) or a SR Principal Systems Engineer (Level 4).**
**Basic Qualifications for a Principal Level (T3) are:**
+ Bachelor's degree with a science, technical, engineering or mathematics (STEM) discipline, and a minimum of 5 years systems engineering experience. Master's degree in Electrical Engineering or other STEM related degree and a minimum of 3 years of systems engineering experience. Ph.D with 0 years of engineering experience.
+ Must have an active DoD Secret Clearance or higher clearance (with a background investigation completed within the last 5 years or currently enrolled into Continuous Evaluation).
+ Must have ability to obtain and maintain Program Access (PAR) within a reasonable period of time, as determined by the company to meet its business needs.
+ Experience creating, editing and executing test procedures.
+ Willing to work 2nd shift as needed
**Basic Qualifications for a SR Principal Level (T4) are:**
+ Bachelor's degree with a science, technical, engineering or mathematics (STEM) discipline, and a minimum of 9 years systems engineering experience. Master's degree in Electrical Engineering or other STEM related degree and a minimum of 7 years of systems engineering experience. Ph.D with 4 years of engineering experience.
+ Must have an active DoD Secret Clearance or higher clearance (with a background investigation completed within the last 5 years or currently enrolled into Continuous Evaluation).
+ Must have ability to obtain and maintain Program Access (PAR) within a reasonable period of time, as determined by the company to meet its business needs.
+ Experience creating, editing and executing test procedures.
+ Willing to work 2nd shift as needed
**Preferred Qualifications:**
+ Active in-scope Secret (reviewed in the last 6 years)
+ Linux or Unix Operating Systems experience
+ Experience troubleshooting discrete analog signal paths
+ Systems-Engineering experience
+ Military Avionics experience
+ Active DoD TS Clearance with SCI and SAP clearance
+ Experience in Flight/Ground Test or Lab Test.
+ Experience in Flight Test or Lab Test and integrating systems.
**Salary Range:** $104,600 - $157,000
**Salary Range 2:** $129,700 - $194,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10136129CaliforniaCAPrincipal OR Sr Principal Systems EngineerNoneD5EE483CE9DB4864B195B9ACE90B5E32http://northropgrumman-veterans.jobs/D5EE483CE9DB4864B195B9ACE90B5E3223BaltimoreNorthrop GrummanUnited StatesUSA2024-03-27 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Mission Systems (NGMS)** is seeking a dedicated **Sr. Principal Quality Engineer** to join our team in **Baltimore, MD.** This is a **Second Shift** position.
**What You'll Get to Do:**
The Sr. Principal Quality Engineer develops, modifies, applies and maintains quality evaluation and control systems and protocols for processing materials into partially finished or finished materials product. Collaborates with engineering and manufacturing functions to ensure quality standards are in place. Devises and implements methods and procedures for inspecting, testing and evaluating the precision and accuracy of products and production equipment. Designs and analyzes inspection and testing processes, mechanisms and equipment; conducts quality assurance tests; and performs statistical analysis to assess the cost of and determine the responsibility for, products or materials that do not meet required standards and specifications. Audits quality systems for deficiency identification and correction. Ensures that corrective measures meet acceptable reliability standards and that documentation is compliant with requirements. May specialize in the areas of design, incoming material, production control, product evaluation and reliability, inventory control and/or research and development as they apply to product or process quality. May be certified in lean and six-sigma quality engineering methodologies.
**Basic Qualifications:**
+ Bachelor's of Science Degree in a STEM (Science, Technology, Engineering, and Mathematics) related field with 9+ years of relevant experience; 7+ Years with Masters; or 4+ Years with PhD.
+ Excellent written and verbal interpersonal, communication skills and confidence to brief senior management.
+ Foundation in Quality and Mission Excellence applications.
+ Ability to work in and lead cross-functional teams to resolve problems or issues.
+ Working knowledge of SAP, ISE and CADSTAR.
+ Willing and able to work second shift.
+ Ability to obtain and maintain a Secret clearance which requires US citizenship.
**Preferred Qualifications:**
+ Familiarity with dispositioning hardware for quality related issues in a manufacturing facility.
+ Familiarity with Material Review Board (MRB) process.
+ Familiarity with electrical or mechanical design requirements and specifications.
+ Green/Black Belt Certified in Lean Six-sigma.
+ Data Analytics and Statistical Analysis methods and techniques; Ability to perform quality-related data analysis.
+ Experience using Microsoft Excel, Minitab, Tableau or equivalent tools for statistical analysis.
+ Familiarity with Root Cause Corrective Action (RCCA) techniques.
+ IPC-A-610 Certified IPC Specialist (CIS) and/or MIL-STD-883 Certified.
+ Familiarity with IPC-WHMA-A-620 and IPC-J-STD-001.
+ SAP familiarity with Quality Notifications, Production Orders and Purchase Orders.
+ Experience with ISO9001 or AS9100 Quality Management System and AS9102 First Article Inspections (FAI).
+ Strong working knowledge of Factory processes and procedures across the entire factory.
+ Working knowledge of inspection tools, methods and techniques used for hardware reviews, validation and inspections.
+ Ability to identify weakness and areas of non-compliance in order to provide continuous improvement to existing methods, processes and procedures.
**Salary Range:** $115,400 - $173,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10138632MarylandMDSecond Shift - Sr Principal Engineer QualityNoneE983783793214326809772E140FA3E36http://northropgrumman-veterans.jobs/E983783793214326809772E140FA3E3623RoyNorthrop GrummanUnited StatesUSA2024-03-27 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Systems Engineering Manager - Specialty Engineering Manager 2** for the Strategic Deterrent Systems (SDS) division Engineering and Manufacturing team. This leadership role will be located in **Roy, UT** and will provide enabling support for all business areas within the SDS portfolio. Northrop Grumman supports the Air Force's sustainment, development, production and deployment of hardware and system modifications for Intercontinental Ballistic Missile (ICBM) Ground and Airborne Launch Control Systems, Launch Facilities, and associated infrastructure.
**What You'll Get To Do:**
The selected candidate will be responsible for a System Safety Engineering Discipline Section within the SDS Systems Engineering organization and will report directly to an SDS Systems Engineering Department Manager. You will provide career mentorship and operational support to a Functional Home Room (FHR) team (Section) of between 8 and 12 Individual Contributors (ICs) based on Engineering goals, objectives, and company policy. Key FHR responsibilities will be personnel performance management, process familiarization and training for Section members, as well as staffing of roles on projects.
As a Section Manager you will be expected to spend roughly 80% of your efforts focused on a technical assignment on an SDS project or IPT with 20% of your effort on Functional Homeroom responsibilities.
The Sentinel Safety Front Line Manager is part of a Management Team responsible for System Safety Engineering across the Sentinel Enterprise including Design Analysis, Functional Hazard Analysis, Hazard Risk Mitigations, Customer Interface, and Functional Management for System Safety Engineers on the Team.
The System Safety team provides the influence and guidance for the safety discipline for the design of our product systems, associated support equipment and facilities and operations. The safety team establishes safety requirements for systems.
The safety team responsibilities include:
+ Independently analyzing and recommending safety criteria in system design, equipment and procedures to control or eliminate hazards.
+ Evaluating the interrelationship of system safety requirements and other aspects of concept design and development requirements to ensure the most cost-effective program.
+ Accident investigations involving products or related facilities and assists in identification of causes and corrective actions.
The qualified candidate will have experience in the implementation and application of standards and safety responsibilities such as:
+ MIL-STD-882E, DoD Standard Practice Safety
+ Safety requirements for ballistic missile operational test and evaluation
+ Leading System Safety Working Groups with Customers and Integrated Product Teams
+ Preparing, Presenting and explaining technical packages at Technical Review Boards
+ Experience developing a System Safety Program Plan
+ Familiarity with OSHA Standards
+ Experience performing Preliminary Hazard Analysis
This position includes functional management.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package.
**You'll Bring These Qualifications:**
+ Bachelor's degree in STEM (Science, Technology, Engineering, Mathematics) and 6 years of related experience or Masters degree in STEM and 4 years related experience
+ At least 1 year experience leading a project and/or driving performance against schedule
+ Active Secret clearance with investigation in the last 6 years.
**These Qualifications Would be Nice to Have:**
+ Experience managing cost, schedule, and performance of large government technical statements of work.
+ Direct experience in leading system safety MIL-STD-882E (or similar) technical teams on large complex systems.
+ Experience with mentoring and development of personnel.
+ Strong written and verbal communication skills of the English language.
+ 1+ years of experience in leading System Safety Working Groups (or equivalent) focused on Customer Review of Hazard Analysis.
+ Experience with generating data per MIL-STD-882E such as Hazard Analysis Reports.
+ Weapon System Experience (Minuteman III or similar military or industry experience).
+ Experience with C/C++, Java, object-oriented design, or similar experience.
+ Experience using DO-178C for design development.
+ Experience developing in an Agile environment.
+ Experience with launch systems, range testing, and/or AFSPCMAN 91-710.
+ Model Based Systems Engineering experience.
+ Active Top-Secret clearance with investigation in the last 6 years.
\#GBSDLeadership
**Salary Range:** $131,200 - $196,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10138177UtahUTSentinel (GBSD) Systems Engineering Manager - Specialty Engineering Manager 2 (1093-2) (4746-1)NoneFB1F7D20494040ED8EFB1B506CE40210http://northropgrumman-veterans.jobs/FB1F7D20494040ED8EFB1B506CE4021023Redondo BeachNorthrop GrummanUnited StatesUSA2024-03-27 06:15:40At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman is an industry leader in Stealth Technology** . We are involved in all phases of development, production, and maintenance of Low Observable (LO) platforms. We are currently looking for **Stealth / Low Observable / Radar Cross Section (RCS) / Signature / Survivability Engineers** to work on exciting and challenging projects.
This position can be filled at a **Principal Survivability Engineer** (Level 3) or **Senior Principal Survivability Engineer** (Level 4) based on qualifications listed below.
**We need your help to engineer at the edge of the impossible!**
**We would like you to bring your experience in design integration, product support or antenna integration to assist our highly skilled an innovative team.**
**Core competencies:**
• **Use the latest classified cutting-edge technology** to reduce the detectability of aircraft in the electromagnetic, infrared, visual and acoustic spectrums.
• **Predict** the performance of your design using proprietary analysis codes.
• **Get you hand dirty and verify your prediction** and the design bybuilding and testing physical models.
• **Optimize the design for Stealth** while meeting the requirement of all other engineering disciplines.
• **Take Responsibility** for achieving customer goal and balancing the design in an Integrated Product Team (IPT) environment.
• **Thrive in a fast-paced work environment** with high expectations, significantly diverse assignments, and extensive collaboration.
**Basic Qualifications:**
• Demonstrated skills and knowledge in Stealth (design integration, product support or antenna integration).
• Must have an active DoD Secret (or higher) clearance.
• A Special Access Program (SAP)/Program Access Request (PAR) will be initiated on the selected candidate and must be active before a start date can be established.
• **Educational/ Experience Requirements:**
o **Principal Survivability Engineer:** Bachelor's degree in a STEM discipline AND 5 years of related professional/military engineering experience, OR a Master's degree AND 3 years of related professional/military engineering experience.
o **Senior Principal Survivability Engineer:** Bachelor's degree in a STEM discipline AND 9 years of related professional/military engineering experience, OR a Master's degree AND 7 years of related professional/military engineering experience, OR a Ph.D. AND 0 years of related professional/military engineering experience.
**Preferred Qualifications:**
• Demonstrated Knowledge and Experience using the latest classified cutting-edge technology to reduce the detectability of aircraft in the electromagnetic, infrared, visual and acoustic spectrums.
• Experience with Northrop Grumman proprietary computational electromagnetic analysis codes or similar computational electromagnetic analysis codes used by our competitors or customers.
• Complete understanding and capability to plan and implement Radio Frequency (RF) modeling and testing of complex classified targets at nations premier indoor/outdoor RCS/RF test facility.
• Working knowledge of the requirements of other supporting engineering disciplines to help in achieving optimization of Stealth goals and a balanced design.
• Strong interpersonal communication and technical writing skills to enable effective personal interaction and collaboration with a multi-disciplined team and customers.
• Knowledge of Applied Electromagnetics / Aircraft Survivability, and a Comprehensive understanding of Electromagnetic Energy transmission though space and within materials.
• The ability to post process complex electromagnetic data to identify and exploit trends to help our aircraft vanish from the RADAR screen.
• Master's degree in physics, Electrical Engineering, or a related technical field.
• A current active U.S. Government in-scope DoD Top Secret security clearance with the ability to obtain and maintain Special Program Access (PAR/SAP).
**Salary Range:** $104,600 - $157,000
**Salary Range 2:** $129,700 - $194,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Redondo Beach, CAR10130312CaliforniaCAPrincipal / Sr. Principal Survivability Engineer (Level 3 or 4)NoneFE8A4C55CECC40719F8BA4A0F1D63439http://northropgrumman-veterans.jobs/FE8A4C55CECC40719F8BA4A0F1D6343923Annapolis JunctionNorthrop GrummanUnited StatesUSA2024-03-27 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is hiring! Earn up to a $20,000 sign on bonus if you are hired against specific TS/SCI Polygraph positions.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators, who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we will support yours of expanding your personal network and developing skills, whether you are new to the field or an industry thought-leader. At Northrop Grumman, you will have the resources, support, and team to do some of the best work of your career.
Description:
The Software Engineer develops, maintains, and enhances complex and diverse software systems (e.g., processing-intensive analytics, novel algorithm development, manipulation of extremely large data sets, real-time systems, and business management information systems) based upon documented requirements. Works individually or as part of a team. Reviews and tests software components for adherence to the design requirements and documents test results. Resolves software problem reports. Utilizes software development and software design methodologies appropriate to the development environment. Provides specific input to the software components of system design to include hardware/software trade-offs, software reuse, use of Commercial Off-the-shelf (COTS)/Government Off-the-shelf (GOTS) in place of new development, and requirements analysis and synthesis from system level to individual software components. The job duties may include some of the following tasks: • Analyze user requirement s to derive software design and performance requirements • Design and code new software or modify existing software to add new features • Debug existing software and correct defects • Integrate existing software into new or modified systems or operating environments • Develop simple data queries for existing or proposed databases or data repositories • Provide recommendations for improving documentation and software development process standards • Develop or implement algorithms to meet or exceed system performance and functional standards • Assist with developing and executing test procedures for software components • Write or review software and system documentation • Develop software solutions by analyzing system performance standards, confer with users or system engineers; analyze systems flow, data usage and work processes; and investigate problem areas • Serve as team lead at the level appropriate to the software development process being u sed on any particular project • Modify existing software to correct errors, to adapt to new hardware, or to improve its performance • Design, develop and modify software systems, using scientific analysis and mathematical models to predict and measure outcome and consequences of design • Design or implement complex database or data repository interfaces/queries • Oversee one or more software development teams and ensure the work is completed in accordance with the constraints of the software development process being used on any particular project • Design or implement complex algorithms requiring adherence to strict timing, system resource, or interface constraints; Perform quality control on team products • Confer with system engineers and hardware engineers to derive software requirements and to obtain information on project limitations and capabilities, performance requirements and interfaces • Coordinate software system installation and monitor equipment functioning to ensure operational specifications are met • Implement recommendations for improving documentation and software development process standards
Basic Qualifications:
- A current and active TS/SCI Clearance with Polygraph is required.
- Nine (9) years experience as a SWE in programs and contracts of similar scope, type and complexity is required.
- Bachelor's degree in Computer Science or related discipline from an accredited college or university is required. Four (4) years of additional SWE experience on projects with similar software processes may be substituted for a bachelor's degree.
Preferred Qualifications:
- Experience with Linux and Windows
- Experience analyzing functional requirements and conducting research in order to develop test cases.
- Experience developing/implementing test plans, test procedures, test reports.
Preferred Qualifications:
Experience working in a scaled Agile environment is preferred.
Previous Product Owner and/or Scrum Master experience is preferred.
Experience mentoring engineering performers is preferred.
**Salary Range:** $146,300 - $219,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Annapolis Junction, MDR10143958MarylandMDSr Principal Cyber Software Engineer (EC)None0CE8D6648C2748C3B9F3C8D4BBBAD74Chttp://northropgrumman-veterans.jobs/0CE8D6648C2748C3B9F3C8D4BBBAD74C23MagnaNorthrop GrummanUnited StatesUSA2024-03-27 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is currently seeking an **Experienced Electrician** to join our team at the **Bacchus (Magna), Utah** facility.
**Position Summary:**
Position requires the knowledge and experience to understand and troubleshoot from electrical/instrumentation schematics. Troubleshoot and repair typical industrial electrical equipment, i.e. perform maintenance on robotics, air compressors, electric generators, DC & AC motor controllers, overhead cranes, hydraulics, pneumatics, camera systems, and security systems. Troubleshoot and perform minor repairs on industrial refrigeration systems, install raceways, enclosures, lighting systems, wiring systems/devices, motors, pumps and related industrial electrical equipment.
**Basic Qualifications:**
• High School Diploma or GED
• Valid Driver's License
• Ability to pass the Safe Explosive Act (SEA) background check.
• Excellent written and verbal communication skills.
• Great work ethic
• Repair and troubleshooting skills.
• 2 years of industrial or heavy commercial experience.
• Utah State Journeyman Electrician License (not residential journeyman license).
**Preferred Qualifications:**
• 5 Years of Industrial or commercial repair
• Familiar with AC/DC drives, VFD's, and servo systems.
• Ability to read and troubleshoot using electrical/instrumentation schematics.
• Experience working with 480 Volt / 3 phase equipment.
• General experience in electrical, refrigeration, HVAC and instrumentation.
• Preference will be given for Utah Journeyman, Master or Electrical Contractor license with industrial experience.
**Position Benefits:**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
• Medical, Dental & Vision coverage
• 401k
• Educational Assistance
• Life Insurance
• Employee Assistance Programs & Work/Life Solutions
• Paid Time Off
• Health & Wellness Resources
• Employee Discounts
• Paid Holidays
***Salary available upon request***
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Magna, UTR10154201UtahUTJourneyman ElectricianNone37F530BEC33F4A7AB6974D96B43E8373http://northropgrumman-veterans.jobs/37F530BEC33F4A7AB6974D96B43E837323Unknown CityNorthrop GrummanUnited StatesUSA2024-03-27 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Seeking a highly motivated and confident individual who is experienced on the EA-18G Growler platform and can execute tasking / job requirements of an Aircraft Avionics / Electrical Technician. The successful candidate will demonstrate the abilities to perform troubleshooting, installation, operation, maintenance, modification and repairs to the EA-18G. The ideal candidate should also be able to perform limited structural modifications.
Requirements/Duties:
+ Must have basic understanding of the theory of operation of the Airborne Electronic Attack (AEA) system on the EA-18G platform.
+ In depth understanding of Software associated with the Avionics systems aboard the Growler required.
+ Must be familiar with basic hand tools, as well as calibrated tools and measuring tools. Also requires the mechanical aptitude to use them correctly on the job.
+ Must be able to clearly document maintenance, and repair actions, as well as correctly tagging and labeling removed parts in accordance with established Procedures.
+ Must have the ability to perform in confined spaces.
+ Will participate and understand the importance of Tool Control and FOD Program. Will identify any potential safety issues and clearly communicating with team members and shop floor Lead/Supervisor.
+ Will be required to demonstrate safe shop practices, such as wearing proper protective equipment i.e. Safety Glasses, Safety Shoes and Bump Caps; Face covering IAW CDC Guidelines.
+ Able to perform any other duties as directed by manager, or team lead for the benefit of the company.
+ Able to assist teammates in other various Powerplant, Airframe, Environmental Control Systems (ECS), and mechanical duties.
Qualifications/Experience:
+ Must have completed F/A-18 or EA-18G Fleet Replacement Aviation Maintenance Program or equivalent course. Basic wire repair school, harness build up, soldering, Fiber Optics.
+ Must have experience performing scheduled and unscheduled maintenance, troubleshooting, repair and testing of the EA-18G Weapons Systems, including ALQ-99.
+ Should have experience on the F/A-18 or EA-18G aircraft in one or more of the following specialties: RADAR, ECM, AEA or COMMNAV systems.
+ The ability to operate the Support Equipment / Test Equipment needed to sustain the Growlers sub-systems.
+ Ability to obtain a Secret clearance is required.
**Salary Range:** $57,200 - $95,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Unknown City, WAR10154459WashingtonWAEA -18G Avionics Technician 3None3FF8AEDD4F364A6EAB27982F5F0BDC79http://northropgrumman-veterans.jobs/3FF8AEDD4F364A6EAB27982F5F0BDC7923BaltimoreNorthrop GrummanUnited StatesUSA2024-03-27 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking an **Engineering Test Lab Technician C** to join its Environmental Test Lab in **Linthicum, Maryland.**
**PRIMARY FUNCTION:**
Perform environmental and evaluation tests and troubleshoot a variety of electronic and electro-mechanical components and assemblies, utilizing environmental simulation facilities and associated test equipment.
WORKING PROCEDURE AND/OR RESPONSIBILITY ASSIGNED:
PERFORM WORK OF EQUAL OR LOWER SKILL LEVEL AS REQUIRED.
1. Perform environmental tests and measurements of electronic and/or electro-mechanical assemblies and components working from detailed instructions such as test specifications and using established procedures.
2. Set up and operate environmental facilities and test equipment, which requires basic knowledge of the electrical principles and mechanical functions involved in the functioning of the equipment. Select test equipment and troubleshoot interrelated circuits between test facilities and assemblies and/or components being tested.
3. Construct simple test fixtures and perform minor repairs or modifications to test fixtures such as drilling and tapping holes, and wiring and soldering components and component parts as directed by engineer or higher classified personnel. Report malfunction of laboratory equipment to supervisor.
4. Make necessary calculations required in the summarization of data per detailed instructions using simple algebraic formulae.
5. Prepare and maintain necessary reports and records as required.
6. Maintain tools, equipment and work area in a clean and orderly condition.
**This is a SEA Union represented position.**
**This is a 1st shift position .**
**Basic Qualifications:**
+ High school graduate or recognized equivalent.
+ Must possess an Associate's Degree (minimum 60 semester hours) or two years (minimum 60 semester hours) of college level study with a major in Electronics OR a minimum of six months military electronic school plus two years working experience in the maintenance and repair of electro-mechanical equipment.
+ Must have knowledge of electronic principles, the ability to wire and assemble circuits and understand the operation of basic test equipment.
+ US Citizenship REQUIRED with ability to obtain and maintain a Top Secret clearance
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10141375MarylandMDEngineering Test Lab Technician CNone6E3224D0A6854AF7BD48F9D7BA89B79Bhttp://northropgrumman-veterans.jobs/6E3224D0A6854AF7BD48F9D7BA89B79B23ChandlerNorthrop GrummanUnited StatesUSA2024-03-27 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is seeking a well-qualified Windows/Linux Systems Administrator to join our team of qualified, diverse individuals. This position will be located in Chandler, AZ.
Are you interested in expanding your career through experience and exposure, all while supporting multiple programs focused on the security of our nation and its allies? The qualified applicant will become part of Northrop Grumman's Enterprise Services team of highly skilled and diverse individuals responsible for the build out and support of a collateral secret enterprise infrastructure that supports multiple programs across every Northrop Grumman sector.
Roles and responsibilities will include:
• Perform as a Windows and Linux System Administrator in a vSphere environment for a classified government contract.
• Support integration of DevOps software such as Jira, Confluence, Jenkins, GitLab, Ansible, Terraform and Grafana.
• Communicate effectively at all levels of the organization, with internal and external customers, in written and oral format.
• Maintain smooth operation of multi-user computer systems, including coordination with network, software, and system engineers, PC desktop technicians, project managers, end users, and customer and IT management.
• Support multiple networks; ensure necessary administration tasks are completed; direct others as necessary.
• Analyze internal and external customer requirements and determine equipment and software requirements for solutions to problems by means of automated systems.
• Recommend and implement system enhancements that will improve the performance and reliability of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
• Provide backup and recovery services; manage file systems and disk space; manage virus protection on a routine basis.
• Create and maintain user and computer accounts and modify file permissions and security access lists.
• Test new releases of products to ensure compatibility and minimize user impact.
• Develop and document technical processes and procedures as needed.
• Make recommendations to purchase hardware, software, and system components.
• Interact, meet, discuss, and troubleshoot issues with vendors; evaluate vendor products, services, and suggestions.
• Adhere to strict Information Systems security guidelines in all cases.
• Maintain security audit and logging information on all classified networked and standalone computers as directed by the Information Systems Security Manager (ISSM).
• Prepare security documentation for input to Computer Security.
• Report project status as required for all recurring and non-recurring efforts.
• Work under minimal direction and independently determine and develop approach to solutions.
**Basic Qualifications:**
• Associate's degree and 7 years of experience, or Bachelor's degree and 5 years of experience, or Master's degree and 3 years of experience; 9 years of experience may be considered in lieu of a completed degree
• **Current DoD 8570 IAM level I** (or higher) security certification (Examples: CND, Cloud+, Security+ CE)
• Candidates must have a **current DOD** **Secre** t level security clearance (at a minimum), to include a closed investigation date completed with the last 6 years
• Ability to maintain an active clearance
• Ability to lift equipment weighing up to 40 pounds
• Ability to work after hours and weekends as needed
• Experience with Windows and Linux server administration in a vSphere environment
**Preferred Qualifications:**
• Bachelor's or Master's degree in IS related field
• Experience operating under and managing systems within NISPOM Chapter 8, DCID 6/3-ICD 503, RMF, STIG, JAFAN, or JSIG information system environments
• Windows AD, DNS, DHCP, Group Policy
• Experience with RedHat 7/8
• VMWare ESXi experience 7/8
• Ansible automation experience
• Rudimentary scripting experience (Python & Bash)
• Entry level experience with DevOps tools
• Nessus and STIG vulnerability scanning and remediation
• Understanding of basic networking, including subnets, routing, and VLANs
• Knowledge of environmental monitoring tools (Solar Winds/Splunk)
• DoD 8570 Certification in a primary OS (Windows or Linux)
• Experience with software development environments
• Experience with Certification & Accreditation process
• Active Top Secret security clearance.
**Salary Range:** $84,200 - $126,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Chandler, AZR10113932ArizonaAZPrincipal Window/Linux Systems AdministratorNone9579C6906B884350A1A496152F4ADEFAhttp://northropgrumman-veterans.jobs/9579C6906B884350A1A496152F4ADEFA23PalmdaleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
If you join Northrop Grumman Aeronautics Systems in this position, you will assist our Manufacturing Operations Organization as an **Aircraft Electrician - Level 2/3** supporting our Palmdale site.
This role is for a hands-on person with great attention to detail, top focus on quality and excellent people skills. This is a full-time position located in sunny Palmdale, CA.
If you are intrigued by this opportunity keep reading below.
**Essential Functions:**
+ Electrical assembly and wire harness installation
+ Checks, tests, and troubleshoots electrical systems for conformance to specifications and performs repair, removal, and reinstallation of wiring as necessary.
+ Cuts wire to length, strips insulation from wire ends, and insulates connections with plastic sleeves.
+ Attaches wire or complicated electrical systems to fixtures and accessories such as: transformers, instrument panels, and switchboards with fasteners (bolts, screws, or solder)
+ May monitor and verify quality in accordance with statistical process or other control procedures.
+ Able to obtain half & full-face respirator certification.
+ Daily usage of manufacturing shop controls and systems
+ Use of appropriate PPE when working on the shop floor
+ Recommend and implement continuous improvement activities in production methods, equipment performance and team effectiveness.
**Basic Qualifications:**
+ **Level 2 - Minimum 2 Years/Level 3 - Minimum of 5 years' electrical experience** in an aerospace, automotive, military, manufacturing and/or maintenance environment
+ High School diploma or GED
+ Must be able to perform moderate strenuous physical and repetitious work to include bending, stooping, kneeling, lifting up to 35 pounds, and working overhead.
+ Must be able to access small confined spaces and work in an enclosed environment.
+ The ability to pass Special Medical/Respiratory Exam in order to obtain and maintain necessary respirator clearance and compliance with all respirator fitting requirements. .
+ Ability to obtain and maintain a DOD Secret or Top-Secret Clearance as well as Program Special access within a reasonable period of time, as determined by the company to meet its business needs.
+ The ability to utilize a computer for basic functions (including navigating through windows operating system, getting online, executing online training modules and ability to be trained using on the job software.
+ The ability to work 1st "5 AM - 3:30 PM" or 2nd shift "4:12 PM - 2:42 AM" and any work schedule.
**Preferred Qualifications:**
+ **Level 2 - Minimum 2 Years/Level 3 - Minimum of 5 years' electrical experience** in an aerospace environment with background in avionics, pinons, dmates, soft mats, hard mats, wire harnesses (tear down and builds), and troubleshooting capabilities.
+ Active DOD secret clearance with an investigation date/periodic review within the last 5 years.
+ Completion of A&P certificate program.
**As a full-time employee of Northrop Grumman Aeronautics Systems, you are eligible for our robust benefits package, including:**
+ **Medical, Dental & Vision coverage**
+ **401k**
+ **Educational Assistance**
+ **Life Insurance**
+ **Employee Assistance Programs & Work/Life Solutions**
+ **Paid Time off**
+ **Health & Wellness Resources**
+ **Employee Discounts**
**Salary Range:** $58,760 - $62,920
**Salary Range 2:** $56,600 - $94,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10111877CaliforniaCAAircraft Electrician - Level 2/3NoneA38653FA74F241B280859CAA092A982Ahttp://northropgrumman-veterans.jobs/A38653FA74F241B280859CAA092A982A23PlymouthNorthrop GrummanUnited StatesUSA2024-03-27 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman's Weapons Systems** Division is looking for a **Manager, Programs Level I** to support the **Armament Systems/Ammunition** organization/business unit located in Plymouth, MN.
The primary objective will be the delivery of all contractual requirements on cost and on schedule, while achieving all technical requirements and creating customer intimacy to support value creation strategies and actions. The individual will lead a cross-functional organization aligned to common program performance goals.
Northrop Grumman's Armament Systems business unit is looking for a Manager, Programs Level 1 to support its established Advanced Ammunition Portfolio. This portfolio consists of Airburst, Proximity, Guided and Advanced Ammunition Technology programs. The primary responsibility of this Program Manager position will be the execution of complex development and production programs across the Advanced Ammunition products. The individual will lead a cross-functional organization aligned to common program performance goals including technical requirements, cost performance, schedule performance, and customer satisfaction.
Program Managers are responsible for leading all phases of the program life cycle from inception (proposal development) through startup, execution, and completion (contract closeout). Primary responsibilities are the management of cost, schedule, and technical performance of company programs or subsystems and include, but are not limited to:
+ Cultivating customer relationships and intimacy to develop further opportunities within the customer community
+ Developing new business opportunities through long term strategic planning, capture planning, and development of proposals and business plans.
+ Establishing a program organization that effectively addresses customer requirements and incorporates the necessary internal and external sub-organizations.
+ Leading and directing cross-functional Integrated Program Teams (IPT) to meet program cost, schedule and technical performance objectives.
+ Measuring and reporting program performance.
+ Delivering presentations to customers, executive management and other program stakeholders
+ Participating in the negotiation of contracts, contract changes, specifications, operating budgets, schedule milestones, and key terms and conditions.
+ Establishing design concepts, criteria, and engineering efforts for product research, development, integration and test.
+ Creation, review and finalization of the program Statement of Work and other associated program management artifacts.
+ Identification, distribution, tracking, and completion of program requirements.
+ Establishment and management of the program and subordinate baselines.
+ Development and adherence to budget baselines utilizing Earned Value Management (EVM) or similar cost & schedule control methodologies and tools.
+ Identifying, allocating and managing program resources, including workforce planning.
+ Managing Government/customer supplied property or information (GFE, CFE, etc.)
+ Managing suppliers to meet program objectives.
+ Adherence to all internal processes, policies, and applicable industry standards
+ Ensuring program team understands and adheres to contract scope, and manages change through control board activities.
+ Development and adherence to master plans and schedules.
+ Conducting thorough risk & opportunity management practices including identification, mitigation and realization.
**Basic Qualifications:**
+ Our position emphasizes skills first and requires 10 years of experience. Will consider a Bachelor's Degree and 6 years or Master's Degree and 4 years of experience supporting U.S. Government contracts and customers and/or project management in other industries.
+ Experience leading the performance of tasks on schedule, at cost and achieving all requirements as either project lead, integrated program team lead, or cost account manager.
+ Demonstrated financial management skills/knowledge including development and control of program budgets, schedules, and the ability to recognize and capture program changes.
+ Proficient computer skills using Microsoft Office products (e.g. Word, Excel, PowerPoint).
+ US Citizenship with the ability to obtain and maintain a DOD Secret clearance.
+ Able to travel both international and domestically.
**Preferred Qualifications:**
+ Bachelors degree in Engineering or related technical field
+ Working experience supporting US Govt contracts
+ Experience leading new product development and/or EMD programs
+ Prior experience with large or medium caliber military armaments, or related systems including development, transition to production, and production experience
+ Experience with Defense Ordnance Technology Consortium (DOTC) and/or Other Transaction Agreements (OTA) process, proposals, and contracts
+ Demonstrated history of effective and productive customer relationship with US Govt.
+ Experience with International Direct Commercial Sale (DCS) proposals/contracts
**Salary Range:** $117,600 - $176,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Plymouth, MNR10146053MinnesotaMNProgram Manager 1NoneBBEAF3859E2940FDA97310A67010E86Ehttp://northropgrumman-veterans.jobs/BBEAF3859E2940FDA97310A67010E86E23FairfaxNorthrop GrummanUnited StatesUSA2024-03-27 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
Northrop Grumman Space Systems (NGSP) seeks to fill an experienced **Sr. Principal Network Engineer** in the Payload and Ground Systems Division Engineering organization supporting Integrated National Systems (INS) Business Unit (BU). This position is located in **Fairfax, VA.**
In this role, the successful candidate will design and plan network communication systems and provide specifications and detailed schematics for network architecture. The candidate must be able to troubleshoot and isolate network faults and failures in a LAN/WAN environment and provide specific detailed information for hardware and software selections, implementation techniques and tools for the most efficient solution to meet business needs, including present and future capacity requirements. The candidate must also conduct testing of network design. An understanding of dynamic routing protocols such as Open Shortest Path First (OSPF) Border Gateway Protocol (BGP) and Enhanced Interior Gateway Routing Protocol (EIGRP) are a plus. This candidate will have to maintain technical expertise in all areas of network and software interconnections and interfacing, such as routers, switches, firewalls, load balancers etc, and evaluate and report on new communication technologies to enhance capabilities of the network.
Candidates are required to be Security+ certified and willing to voluntarily submit to a polygraph if required after employment. Candidates must have the ability to work within a group or independently where collaboration and attention to detail is critical to mission success.
Travel is required at a minimum of 20%. Occasional 24x7 shift support required.
**Basic Qualifications:**
+ Bachelor's degree in STEM with 9+years of relevant experience, OR Master's degree with 7+ years, or PhD with 4+ years; 13+ years of experience may be considered in lieu of a degree requirement.
+ Active TS/SCI or TS with SCI eligibility, and willingness to sit for a polygraph
+ Layer 1 implementation
+ Layer 2 configuration, administration, maintenance
+ Configuration, administration, maintenance of various network protocols, such as EIGRP, OSFP and BGP
+ Firewall configuration, administration and management
+ Security+ Certification
+ Travel minimum 20%
**Preferred Qualifications:**
+ Active TS/SCI with Polygraph
+ Call Manager experience
**Salary Range:** $120,900 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fairfax, VAR10144448VirginiaVASpace Sr. Principal Network Engineer (Active TS Clearance and SCI Eligibility Required)NoneBE872CE631CA49838799F34C75D59CA1http://northropgrumman-veterans.jobs/BE872CE631CA49838799F34C75D59CA123Annapolis JunctionNorthrop GrummanUnited StatesUSA2024-03-27 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Job Description
Northrop Grumman is hiring! Earn up to a $20,000 sign on bonus if you are hired against specific TS/SCI Polygraph positions.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators, who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we will support yours of expanding your personal network and developing skills, whether you are new to the field or an industry thought-leader. At Northrop Grumman, you will have the resources, support, and team to do some of the best work of your career.
The Sensing & Secured Solutions Teams are hiring! We are seeking an experienced Sr. Java Developer to work as a member of an Agile team developing a high-visibility, mission critical component of a large system. The new team member will be working with DevOps, containerization, deployment to and operation on Linux, API development, requirements development, and model-based systems engineering.
The Sr. Java Developer will develop, maintain, and enhance complex and diverse software systems (e.g. processing-intensive analytics, novel algorithm development, manipulation of extremely large data sets, real-time systems, and business management information systems) based upon documented requirements. This person will be responsible for working individually or as part of a team to review and test software components for adherence to the design requirements and documents test results. The team is seeking a talented engineer who resolves software problem reports, utilizes software development and software design methodologies appropriate to the development and software design methodologies appropriate to the development environment. The successful candidate shall have experience with creating specific input to the software components of system design to include hardware/software tradeoffs, software reuse, use of Commercial Off-the-Shelf (COTS)/ Government Off-the Shelf (GOTS) in place of new development, and requirements analysis and synthesis from system level to individual software components.
Basic Qualifications:
- 20 years of experience as a Software Engineer in programs and contracts of similar scope, type, and complexity with a bachelor's degree in Computer Science or related discipline from an accredited college or university. - 24 years of experience as a SWE in programs and contracts of similar scope, type, and complexity with no degree can also be accepted.
- A current and active TS/SCI Clearance with Polygraph is required.
- An ability to develop, maintain, and enhance complex and diverse software systems.
- This position requires past experience in Java Software Development
Preferred Qualifications:
- An ability to analyze user requirements to derive software design and performance requirements
- An ability to design and code new software or modify existing software to add new features
- Experience with debugging existing software and correcting defects
- An ability to integrate existing software into new or modified systems or operating environments
- Experience with developing simple data queries for existing or proposed databases or data repositories
- Assisting with developing and executing test procedures for software components
**Salary Range:** $201,400 - $302,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Annapolis Junction, MDR10086516MarylandMDSr. Staff Java Software Engineer (SWE3) **Up to 20k sign-on bonus**NoneD6354BA094A94EA789738F8FD8F2FF7Bhttp://northropgrumman-veterans.jobs/D6354BA094A94EA789738F8FD8F2FF7B23ClearfieldNorthrop GrummanUnited StatesUSA2024-03-27 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems** has an opening for a **Principal or Senior Principal** **Engineer NDT** with **Thermography** experience to join our team of qualified, diverse individuals in **Clearfield, Utah.** We support multiple prime contractors for military and commercial programs. Our work protects our country from enemy threats. Are you interested in development of NDT for state-of-the-art composites? **Come join us!**
Northrop Grumman regularly wins awards for our work-life balance, and we provide a proven suite of benefits including health, retirement, education, etc. This role is **day shift** with some off hours support for NDI Operations running 24/7. Travel is estimated to be less than 10% to support other sites (Iuka, MS, and Dayton, OH) during system and process qualification as required. We work a 9/80 schedule, which gives you every other Friday to pursue passions outside of the office.
You will be a part of an Inspection Development Group responsible for:
+ Development of advanced NDT inspection technologies and sensors including laser ultrasound, phased array ultrasound, air coupled ultrasonic, THz and GHz, thermography, shearography, digital x-ray and computer aided data analysis
+ Design, fabrication, installation and testing of automated inspection systems for internal use
+ Integration of advanced NDT inspection technologies into automated systems and their qualification for the production
+ NDT Support of production programs during their whole life cycle
**Responsibilities include:**
+ Develop and implement in production Infrared Thermography (IRT) non-destructive inspection techniques (automated and hand-held), including training of production personnel
+ Perform inspections of various composite structures in support of production; evaluation and interpretation of indication for the Structural Engineers in an expeditious time frame to allow rapid MRB response; support other MRB activities including writing SWI for additional inspections
+ Write technique sheets, procedures, including SWI and EWIs, and reports, as required
+ Assist with the design, fabrication & qualification of defect standards
+ Qualify Infrared Thermography (IRT) and other NDT techniques and automated inspection systems for the programs
+ Provide NDT cost estimates for the programs
+ Assist with training & certification of NDT personnel
+ Assist with instrument & system calibrations, support internal and external audits
Our team works in a fast-paced work environment with high expectations and significantly diverse assignments. We are comfortable both in a lab environment and on the production floor, and we collaborate closely with NDT technicians, test engineers and quality control engineers, program and operation managers, and customers. We're a small group and a close-knit team. Our work is highly dynamic, and our activities will vary from project to project. We are NDT development team integrated within a top tier defense contractor. We are looking for innovators!
This position may be filled at a Principal or Senior Principal Engineer level based on the qualifications outlined below.
**Basic Qualifications:**
+ **Principal Engineer:** Bachelor's degree in a relevant STEM discipline plus 5 years of relevant experience; OR Master's degree in a relevant STEM discipline and 2 years of relevant experience; OR Ph.D. in a relevant STEM discipline and 0 years of experience. Note: An additional 4 years of relevant work experience may be considered in lieu of a Bachelor's degree
+ **Senior Principal Engineer:** Bachelor's degree in a relevant STEM discipline plus 9 years of relevant experience; OR Master's degree in a relevant STEM discipline and 7 years of relevant experience; OR Ph.D. in a relevant STEM discipline and 4 years of relevant experience. Note: An additional 4 years of relevant work experience may be considered in lieu of a Bachelor's degree
+ Level 2 NAS410 certification in Infrared Thermography Testing (IRT)
+ Able to obtain and maintain a DoD Secret and special program access clearances
**Preferred Qualifications:**
+ ASNT Level 3 in IRT
+ Current, in-scope Secret clearance
+ Degree in Mechanical or Electrical Engineering
+ Experience in Infrared Thermography Testing (IRT) of composite materials in aerospace or manufacturing environment
+ Experience in Ultrasonic Testing and current NAS410 certification
+ Experience in Ultrasonic Phased Array technology
+ Experience in Shearography
+ Experience operating automated inspection systems
+ Experience supporting high-rate programs with multiple shifts
+ Proficiency with CAD/solid modeling software
**What We Offer**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer exceptional benefits/healthcare, a great 401K matching program, and 14 Employee Resource Groups inclusive of all employees!
**Salary Range:** $82,000 - $123,000
**Salary Range 2:** $101,600 - $152,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10124429UtahUTPrincipal/Sr Principal NDT Engineer (Thermography)NoneDB9859BB5C4C486A8917718978B4E1D5http://northropgrumman-veterans.jobs/DB9859BB5C4C486A8917718978B4E1D523Patuxent RiverNorthrop GrummanUnited StatesUSA2024-03-27 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Northrop Grumman Defense Systems has an opening for an **SCA Aircraft Mechanic 3 (Triton)** to join our team of qualified, diverse individuals. This position will be located in **Patuxent River, Maryland.**
**Responsibilities** **:**
+ Perform developmental, experimental and production aircraft maintenance, repair, modification, and installation to support flight testing and fleet integration for the MQ-4C Triton.
+ Areas of specialization include: avionics, electrical, airframe, engine, instrumentation systems, and integrated systems.
+ Work from blueprints, engineering orders, schematics, repair manuals and other technical documents in the performance of maintenance, disassembly, rework, repair, replacement, re-assembly, or adjustment of various vehicle systems.
+ Troubleshoot and accurately diagnose malfunctions to properly identify and correct problems in minimum time.
+ Install upgrades and remove products ensuring coordinative engineering field changes.
**Basic Qualifications**
+ Associates Degree or other 2 year technical degree in a related field and 4 plus year's related experience, or additional years of experience will be considered in lieu of degree.
+ An Active DoD Secret clearance required
+ Ability to obtain and maintain Top Secret clearance.
+ Aircraft Production/Modification experience
**Preferred Qualifications**
+ Triton/Global Hawk experience
+ OOMA and U.S. Navy maintenance experience
+ Flight Test experience.
+ Avionics/Electrical background.
NGSKills
**Salary Range:** $1 - $1
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Patuxent River, MDR10146109MarylandMDSCA Aircraft Mechanic 3 (AHT)NoneDC36CABA3C5E411583DFE514A069FB8Bhttp://northropgrumman-veterans.jobs/DC36CABA3C5E411583DFE514A069FB8B23Warner RobinsNorthrop GrummanUnited StatesUSA2024-03-27 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identifies as veterans, and more than 1,600 are reservists. The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last **6 months of service** , for up to **180 days** . The Northrop Grumman Military Internship Program is open to all ranks and experience levels. SkillBridge participants are **not eligible for compensation** from Northrop Grumman, as they continue to receive military compensation and benefits as **Active-duty service members** .
Responsibilities for this internship position are:
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge ) utilizing the DoDI guidance for Skillbridge . During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
Goals - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
Objectives - Service Members who complete the Intern program will be highly trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
Outcome - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
- Has served at least 180 days on active duty
- Is within 12 months of separation or retirement
- Will receive an honorable discharge
- Has taken any service TAPS/TGPS
- Has attended or participated in an ethics brief within the last 12 months
- Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Northrop Grumman Defense Systems is seeking an SCA **Engineering Technician 1** to join our team of qualified, diverse individuals in **Warner Robins, GA** . The **SCA Engineering Technician 1** is responsible for the repair, modification, and maintenance of a variety of electronic equipment and Electronic Warfare systems. You will be working on highly complex systems and equipment that are mission critical for the platforms that they operate on.
**Duties** **:**
Performs a variety of tasks ranging from repetitive to non-repetitive production assembly operations on electronic and/or mechanical assemblies and subassemblies such as modules, boards, panels, drawers, frames, wires, and cables.
Works from diagrams and drawings, makes initial layouts, and uses hand and/or power tools and jigs. Makes continuity checks on work in process and completed. May conduct inspection points on processing line in accordance with quality specifications. May disassemble, modify, rework, reassemble prototype assemblies and subassemblies according to specifications
**SCA Engineering Technician 1**
+ Responsible for repair, modification, and fabrication of cable assemblies, wiring harnesses and complex electronic assemblies.
+ Responsible for component replacement and Printed Circuit Board repairs.
+ Responsible for repair, modification and fabrication of Shop and Line Replaceable Units.
+ Properly utilizing general purpose test and measurement equipment and tools.
+ Ability to read schematics and wiring diagrams.
+ Identify required tools, supplies and resources needed to maintain the work area as serviceable.
**Basic Qualifications for an SCA Engineering Technician 1**
+ Minimum of a high school diploma or GED.
+ Must be able to obtain all required Soldering certifications and meet associated training requirements.
+ Must be able to obtain and maintain a Department of Defense (DoD) Secret level of clearance, if required.
+ Meets DoD SkillBridge qualifications as per DODI 1322.29
**Preferred Qualifications:**
+ Minimum of 2 years of repair capability experience (i.e., fabricating, repairing, and modifying complex electronic assemblies, circuit cards, shop or line replaceable units and wiring harnesses).).
+ Experience using controlled drawings and work instructions.
+ Experience with the usage, assembly and repair of military grade pins, connectors, and the associated tooling.
+ Ability to assemble and disassemble electronic assemblies using detailed drawings, work instructions or Technical Orders.
NGSkills
DSSB
**Salary Range:** $16 - $16
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Warner Robins, GAR10144966GeorgiaGASCA Engineering Technician 1 (SkillBridge)NoneDED3C399786C40E0B1BC65F0444F68B1http://northropgrumman-veterans.jobs/DED3C399786C40E0B1BC65F0444F68B123ClearfieldNorthrop GrummanUnited StatesUSA2024-03-27 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems** has an opening for either a **Principal/Sr. Principal Facilities Engineer** **Level 3/4** to join our team of qualified, diverse individuals. This position will be located **on site** in **Clearfield, Utah supporting the dayshift schedule.**
The successful candidate will have in-depth knowledge of various types of infrastructure. Examples include Machining Centers, Air Compressors, Humidifiers, Chillers, Pumps, Variable Frequency Drives, HVAC devices and controls, Roof Systems, etc. as well as excellent written and verbal communication skills.
This position is focused on Facility asset risk and reliability as primary responsibilities. This will include:
+ Daily interface with Maintenance Managers and Technicians of all trades to understand and identify infrastructure risks throughout the facility
+ Executing the NG's Risk Process and incorporating all risk data into the Risk Assessment Data Storage Tool
+ Responding to risk assessment data calls, participating in Corrective Action Meetings for infrastructure failures and assisting with analyzing failures and developing corrective actions
+ Ensuring incorporation of Technician feedback into the Computerized Maintenance Management System (CMMS)
+ Review drawings of new builds or new infrastructure and equipment for maintainability and provide feedback to Project Management
+ Analyzing Preventive Maintenance frequency by asset and providing recommendations for adjustment
+ Analysis of the Maintenance CMMS to identify frequently failing assets and recommending appropriate corrective action
+ Analyze current Processes to identify opportunities for efficiency. Redesign and implement those improved processes
+ Assess new asset owner's and maintenance manuals and create preventative maintenance plans and input them to the Maintenance CMMS
+ Other duties as assigned
**This role may be filled at a Level 3 or Level 4 based on the qualifications below.**
**Basic Qualifications:**
**Principal Facilities Engineer Level 3:**
+ Bachelor's Degree in STEM (Science, Technology, Engineering, Math) and 5 years of experience in Reliability Engineer, Mechanical, Industrial, or Facilities engineering. OR Master's Degree with 3 years of experience in mentioned fields OR; PHD Degree with 0 years of experience.
+ Experience to apply Microsoft Office software applications including Outlook, Excel, PowerPoint, and Word
+ Experience with MS Project or similar scheduling software
+ Ability to obtain and maintain a DoD Secret Clearance, including Special Program Access within a reasonable period, as determined by the company to meet business needs.
**Basic Qualifications:**
**Sr. Principal Facilities Engineer 4:**
+ Bachelor's Degree in STEM (Science, Technology, Engineering, Math) and 9 years of experience in Reliability Engineer, Mechanical, Industrial, or Facilities engineering. OR Master's Degree with 7 years of experience in mentioned fields OR; PHD Degree with 4 years of experience.
+ Experience to apply Microsoft Office software applications including Outlook, Excel, PowerPoint, and Word
+ Experience with MS Project or similar scheduling software
+ Ability to obtain and maintain a DoD Secret Clearance, including Special Program Access within a reasonable period, as determined by the company to meet business needs.
**Preferred Qualifications:**
+ Experience with Siemens controllers and Drives
+ Subject matter expert in Machining Center and/or Water Jet
+ Experience with design and construction of classified facilities
+ Experience with Building Automation Systems and Controls
+ Experience with IT network requirements, design, and construction
We offer flexible work arrangements, excellent learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders, and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees!
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our expansive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare and a great 401K matching program.
**Salary Range:** $84,200 - $126,400
**Salary Range 2:** $104,400 - $156,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10153381UtahUTPrincipal Engineer/Sr. Principal Engineer FacilitiesNoneEDC066B28D6C4CDAB00E71739CA907F6http://northropgrumman-veterans.jobs/EDC066B28D6C4CDAB00E71739CA907F623LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:39At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Northrop Grumman Mission Systems (NGMS) Microelectronics Design and Applications business pushes the boundaries of innovation, redefining the leading edge of exotic new technologies in computation, system security, and AI. Among our most challenging and exciting fields are Artificial Intelligence (AI) and Transformational Computing (TC). In AI we are developing capabilities to apply next generation AI and machine learning to NGMS solutions. In TC we are leveraging NG proprietary superconducting technologies to transform computing beyond Moore's Law and advance development of large-scale high performance computing systems. You will work in a fast-paced team environment alongside a broad array of scientists and engineers to make these solutions a reality.
Microelectronics Design and Applications (MDA) engineering is seeking a data engineer who will make significant and ongoing contributions to the maturation of our data driven processes. You will design, build and oversee the deployment and operation of technology to capture, manage, store and utilize structured and unstructured data from internal and external sources. The ideal candidate will have a keen interest in the tools and processes around ETL and data visualization. They must have a proven ability to drive business results with a passion for discovering solutions hidden in large data sets and working with stakeholders to improve business outcomes. They must be comfortable working with a wide range of stakeholders, internal data scientist, and functional teams in a fast-paced, research environment with an emphasis on driving collaboration, quality and speed to market.
**This position requires work on site located at Linthicum, MD and/or Annapolis Junction, MD as needed.**
Your responsibilities:
+ Create data collection frameworks for structured and unstructured data.
+ Administer databases utilizing strong skills at sourcing data from a wide variety of formats.
+ Analyze database configurations to optimize the performance of end user models and dashboards.
+ Develop resilient and scalable pipelines capable of moving large volumes of data.
+ Assess the effectiveness and accuracy of data sources and data gathering techniques.
+ Coordinate with different functional teams to implement solutions and monitor outcomes.
+ Analyze multiple data sources in detail to identify trends and patterns using engineering fundamentals.
What you need to be successful in the role:
+ Comfort with exposing data to consumption via API services.
+ Comfort with requirements-analysis and stake-holder engagement.
+ Strong problem-solving skills and root-cause analysis to ensure that curated data is accurate, repeatable, and explainable.
+ Solid communication skills (written, verbal, and visual)
+ Ability to communicate data and engineering analysis regarding conclusions that were reached.
+ Excellent attention to detail.
+ Development /programming of tools to improve pipeline efficiency, eliminate repetitive tasks, and implement new analysis tools/techniques.
+ Prioritization skills to manage workload and make sound decisions for assigned team's data analysis activities.
+ Ability to create and present data reports to support investigations.
**This position can be filled as a Principal Data Engineer OR a Sr. Principal Data Engineer. Qualifications for both are listed below:**
**Basic Qualifications for a Principal Data Engineer:**
+ Bachelor's degree in a technical area with 5 years of relevant experience (3 years with technical MS)
+ Previous Data Warehouse experience
+ Experience with modeling and normalizing complex data.
+ Experience manipulating and analyzing complex, high-volume, high-dimensionality data from multiple sources / systems of record.
+ Experience with ETL tools, data analytics platforms, and reporting services.
+ Proficient in Python
+ Excellent communication skills and customer facing experience.
+ Proven ability to learn and master new technologies and techniques.
+ Knowledge of data gathering, cleansing and transformation techniques
+ Ability to obtain and maintain a Top Secret (TS/SCI) with polygraph clearance per business requirements. US Citizenship is a prerequisite of this.
**Preferred Qualifications for a Principal Data Engineer:**
+ Product Lifecycle experience
+ Experience working with the following database formats: Mariadb, Mongodb, Influx
+ Experience working with Apache Airflow, Kafka, Spark and Hadoop
+ Experience with virtualization tools such as Trino.
+ Experience with Object and File storage.
+ Experience mentoring and leading peers in data engineering projects.
+ Tableau experience
+ MATLAB experience
+ Active TS/SCI clearance with polygraph
**Basic Qualifications for a Sr. Principal Data Engineer:**
+ Bachelor's degree in a technical area with 9 years of relevant experience (7 years with technical MS; 4 years with a PhD in related field)
+ Previous Data Warehouse experience
+ Experience manipulating and analyzing complex, high-volume, high-dimensionality data from multiple sources / systems of record.
+ Experience with ETL tools, data analytics platforms, and reporting services.
+ Proficient in Python
+ Excellent communication skills and customer facing experience.
+ Proven ability to learn and master new technologies and techniques.
+ Knowledge of data gathering, cleansing and transformation techniques
+ Ability to obtain and maintain a Top Secret (TS/SCI) with polygraph clearance per business requirements. US Citizenship is a prerequisite of this.
**Preferred Qualifications for a Sr. Principal Data Engineer:**
+ Product Lifecycle experience
+ Experience working with the following database formats: Mariadb, Mongodb, Influx
+ Experience working with Apache Airflow, Kafka, Spark and Hadoop
+ Experience with virtualization tools such as Trino.
+ Experience with Object and File storage.
+ Experience mentoring and leading peers in data engineering projects.
+ Tableau experience
+ MATLAB experience
+ Active TS/SCI clearance with polygraph
**Salary Range:** $112,600 - $169,000
**Salary Range 2:** $139,700 - $209,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10147189MarylandMDPrincipal Data Engineer/Sr. Principal Data EngineerNoneF79CCBD8B7CE42838CA577765DDEB18Bhttp://northropgrumman-veterans.jobs/F79CCBD8B7CE42838CA577765DDEB18B23LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As an integral part of our Mission Modeling, Simulation & Analysis team in Mission Systems Engineering located in Linthicum, MD you will focus on accelerating the delivery of capabilities to our customers through the development and use of advanced models and simulations of platforms, sensors, weapons, and their interactions with the environment.
This is an on-site position located in Linthicum, MD. This requisition may be filled as a Principal Systems Engineer or Senior Principal Systems Engineer.
**Roles and Responsibilities:**
+ Utilize Modeling, Simulation, Experimentation, and Analysis (MSE&A) of advanced systems using C++ object-oriented design, advanced data structures and test-driven development
+ Develop large scale data analytics, probabilities, and statistics, including the application of design of experiment techniques for the evaluation of system and mission performance
+ Integrate MS&A with operational flight software, collecting and analyzing data from laboratory or flight tests, the verification and validation of simulation performance against flight test data, and formally verifying that the models meet specified requirements
+ Produce publication quality reports which define the foundation for simulation credibility across all stakeholders and provide the artifacts to support formal simulation Verification, Validation and Accreditation (VVA).
+ Innovate to solve problems and identify improvements across MSE&A products
+ Performs operational analysis and mission effectiveness analysis
+ Develops new and/or integrates existing system simulation frameworks, performance models and algorithms, threat models and command and control models
+ Models operational environments, performs trade studies via computer simulation and recommends alternative architectures
+ Simulates real-time operations and develops software that simulates behavior of systems
+ Develops, integrates, and uses advanced graphical user interfaces and visualization tools
**Basic Qualifications for Principal Systems Engineer:**
+ Bachelor's Degree with 5 years of experience, Master's degree with 3 years of experience, Ph.D. with 0 years of experience in Electrical Engineering, Computer Engineering, Computer Science or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S. Citizenship is required.
+ An active DoD secret clearance is required and the ability to obtain Special Program Access (SAP) prior to start.
+ Experience with programming languages such as C/C++, Python, Bash or C shell scripting and the Linux and Windows computing environments.
+ Experience with analytical modeling approaches using MATLAB environment.
**Basic Qualifications for Senior Principal Systems Engineer:**
+ Bachelor's Degree with 9 years of experience, Master's degree with 7 years of experience, Ph.D. with 4 years of experience in Electrical Engineering, Computer Engineering, Computer Science or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S. Citizenship is required.
+ An active DoD secret clearance is required and the ability to obtain Special Program Access (SAP) prior to start.
+ Experience with programming languages such as C/C++, Python, Bash or C shell scripting and the Linux and Windows computing environments.
+ Experience with analytical modeling approaches using MATLAB environment.
**Preferred Qualifications** :
+ Active Top Secret DoD Clearance or higher
+ Advanced degrees in Engineering, Computer Science, Applied Physics, Applied Mathematics, or a related technical field
+ Experience with Modeling, Experimentation, Simulation, and Analysis
+ Experience with real-time and/or reactive simulation software applications development
+ Experience using AFSIM and/or Brawler
+ Experience with one or more of the following: statistics, design of experiments, descriptive/diagnostic/predictive/prescriptive analytics, high performance computing
+ Domain experience in simulations of RF, EOIR, Communications or related systems
+ Solid understanding of the Systems Engineering and Integration & Test processes
+ Experience with DPC, CUDA, OpenCL, Verilog, VHDL, or other domain specific languages
+ Experience with Agile and/or SAFe methodologies
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
This position is contingent upon the successful transfer of an active DoD Secret Clearance and the ability to obtain Special Program Access (SAP) prior to start.
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10142106MarylandMDPrincipal Systems Engineer / Senior Principal Systems Engineer - Modeling Simulation and AnalysisNone0D94AB67F71745CFA7A3095A12EE5F8Chttp://northropgrumman-veterans.jobs/0D94AB67F71745CFA7A3095A12EE5F8C23RoyNorthrop GrummanUnited StatesUSA2024-03-27 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Flight Analysis Engineer** in support of the Sentinel program. Northrop Grumman supports the Air Force's sustainment, development, production and deployment of hardware and system modifications for Intercontinental Ballistic Missile (ICBM) Ground and Airborne Launch Control Systems, Launch Facilities, and associated infrastructure. This position is located in **Roy, Utah.** This role may offer a competitive relocation assistance package.
**What You'll Get To Do**
Flight Analysis Engineer is a member of the AVE Modeling Simulation and Analysis (AVE MS&A) team responsible for AVE performance and flight assessment. This includes executing trajectory simulation tools, both in 3 and 6 Degrees of Freedom, and analyzing the results of those simulations.
**Specific duties to include, but are not limited to the following:**
Trajectory simulations support (but are not limited to):
+ Payload to Range
+ Post boost vehicle maneuverability
+ Weapon system effectiveness
+ Accuracy
+ Vehicle environment characterization
+ Flight test predictions and post-flight assessments
+ The Flight Analysis engineer responsibilities also include development and modification of existing trajectory simulation tools
**Position Benefits**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
https://www.northropgrumman.com/who-we-are/total-rewards-benefits/
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Basic Qualifications:**
This requisition may be filled at either a Principal level or Sr. Principal level
+ Principal Engineer: Bachelor of Science degree in a STEM (Science, Technology, Engineering and Math) discipline and 5 years of experience; 3 years with Master's Degree; 0 years with PhD
+ Sr. Principal Engineer: Bachelor of Science degree in a STEM (Science, Technology, Engineering and Math) discipline and 9 years of experience; 7 years with Master's Degree; 4 years with PhD
+ Missile trajectory analysis or applicable Aerospace Engineering experience
+ US Citizenship with an Active DoD Secret clearance, granted or re-investigated within last 6 years, or in Continuous Evaluation (CE)
+ Ability to obtain and maintain Special Access Program (SAP) Clearance
+ Strong programming skills
+ Experience with aerospace vehicle modeling and trajectory simulation
**Preferred Qualifications:**
+ Active Top Secret clearance
+ Experience programming in C++ Experience with at least one software development platform such as Git, Bitbucket, SVN, etc.
+ Multidisciplinary experience related to missile performance modeling (guidance & control, propulsion, aerodynamics, mass properties, etc.)
+ Excellent technical writing skills
+ Excellent communication and organizational skills; strong interpersonal and analytic skills
+ Works well in a team environment
\#GBSDsystems
**Salary Range:** $90,400 - $135,600
**Salary Range 2:** $112,000 - $168,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10123580UtahUTSentinel (GBSD) Flight Analysis Engineer (3327-1 & 311-1)None1BA5C22832B844EB852E9A7D053F0424http://northropgrumman-veterans.jobs/1BA5C22832B844EB852E9A7D053F042423RoyNorthrop GrummanUnited StatesUSA2024-03-27 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a Senior/Principal Engineer Systems Test. This position will be located in Roy, UT and will support the Sentinel - Ground Based Strategic Deterrent (GBSD) program. This role may offer a competitive relocation assistance package.
**What You** **'** **ll Get To Do:**
+ Design, develop, and implement testing methods and equipment.
+ Plan and arrange labor, schedules, and equipment required for testing and evaluating standard and special devices.
+ Ability to navigate requirements in DOORS
+ Knowledge of Model Based System Engineering with an understanding of DODAF and SysML
+ Provide test area with parameters for sample testing and specifies tests to be performed
+ Compile data and define changes required in test equipment, test procedures, or new test requirements.
+ Test planning, execution, reporting, and integration support
+ Technical experience in network, computer hardware and software, interconnection and interfacing technologies
+ Collaborate with systems engineers, software engineers and lab users to ensure the lab meets test requirements
+ Develop and release engineering documentation including:
+ Perform data analysis against requirements and interfaces for each software build or hardware component
+ Interface with software developers and systems engineers in support of verification
+ Prepare detailed test reports and support formal verification of the system
+ Product integration, regression, and verification testing including checkout in system test labs.
+ Short and long term integration planning and execution of multiple concurrent baselines. This include integrating subsystem functionality into system capabilities per design with an emphasis on system stability and performance
+ Participating in technical exchange meetings, interfacing with customers (internal/external) and contributing to a broad range of program deliverables.
+ The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, collaborative/team settings across all levels.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**You** **'** **ll Bring These Qualifications:**
**Principal Engineer Systems Test**
+ 5 Years with Bachelors in Science; 3 Years with Masters; 0 Years with PhD. An additional 4 years of experience may be considered in lieu of a degree.
+ US Citizenship with an Active Secret Clearance or higher (awarded within the past 6 years) with the ability to receive a Special Access Program (SAP) certification.
**Senior Principal Engineer Systems Test**
+ 9 Years with Bachelors in Science; 7 Years with Masters; 4 Years with PhD. An additional 4 years of experience may be considered in lieu of a degree.
+ US Citizenship with an Active Secret Clearance or higher (awarded within the past 6 years) with the ability to receive a Special Access Program (SAP) certification.
**These Qualifications Would be Nice to Have:**
+ Bachelors Degree or higher in a Science, Technology, Engineering, or Math (STEM) related discipline from an accredited university
+ US Citizenship with an Active Top-Secret Clearance or higher (awarded within the past 6 years) with the ability to receive a Special Access Program (SAP) certification.
+ Ability to acquire a Security+ Certification within 1 year of employment
+ Software (C, C++, Java) and/or Hardware (Oscilloscope, Multi-Meter) testing experience • Experience with Client/Server architecture.
+ Automated Test experience (development and execution)
+ Experience planning and executing in an AGILE environment using any of the Atlassian suite of SW such as JIRA, Confluence
+ Model Based System Engineering (MBSE) with an understanding of Department of Defense Architecture Framework (DoDAF) and Systems Modeling Language (SysML)
+ Exposure/Experience using SysML languages such as DOORS, CAMEO, or MagicDraw
+ Test Plan, Test Report, and Test Procedure development experience
+ Familiarity with hardware and working knowledge of networking
+ Ability to understand or create scripts
+ Excellent communication, interpersonal skills, and the ability to interface with all levels of employees and management
+ Works well in a team environment
+ Proficiency in MS Office (e.g. Word, Excel, Access and Power Point)
\#GBSDintandtest
**Salary Range:** $82,000 - $123,000
**Salary Range 2:** $101,600 - $152,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10096562UtahUTSentinel (GBSD) Senior/Principal Engineer Systems Test - 6885None2C610D74A28746C798B8F829F797EA83http://northropgrumman-veterans.jobs/2C610D74A28746C798B8F829F797EA8323Rolling MeadowsNorthrop GrummanUnited StatesUSA2024-03-27 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems (NGDS) is seeking a Principal Systems Engineer / Sr. Principal Systems Engineer to become part of the Specialized Tactical Electronic Warfare Systems (STEWS) team at our Rolling Meadows, IL location. The qualified applicant will apply their expertise to develop and sustain airborne RF Electronic Warfare (RFEW) systems and RFEW Test Systems, evaluate their effectiveness, and advance their capabilities.
Candidate engineer may work on multiple tasks including, but not limited to:
+ Requirements development and derivation
+ Performance and data analyses
+ Support firmware, software and hardware integration and qualification tests
+ Develop and test EW Modes, techniques, and algorithms.
+ Develop, sustain, and advance digital radio frequency memory (DRFM)-based and digital receiver-exciter (DRE)-based systems.
+ Define and implement hardware/software generating RFEW environments for development and operational testing utilizing RF environment simulators.
+ Contribute subject matter expertise to multidisciplinary teams and external stakeholders.
**This position** may be filled as a Principal Systems Engineer or Sr Principal Systems Engineer.
**Basic Qualifications Principal Systems Engineer:**
+ Bachelor of Science Degree in Electrical Engineering or similar engineering discipline with 5+ years of radar/EW experience
+ Systems Engineering experience across the product development lifecycle including concept design, detailed design, integration, verification, qualification, and validation.
+ Familiarity with modeling and simulation tools such as, but not limited to MATLAB, Python, ANSYS HFSS, etc
+ Effective verbal and written communication skills necessary to prepare and present briefings, papers, and reports.
+ U.S. citizen and the ability to obtain a DoD Secret Clearance
**Basic Qualifications Sr. Principal Systems Engineer:**
+ Bachelor of Science Degree in Electrical Engineering or similar engineering discipline with 9+ years of radar/EW experience
+ Systems Engineering experience across the product development lifecycle including concept design, detailed design, integration, verification, qualification, and validation.
+ Familiarity with modeling and simulation tools such as, but not limited to MATLAB, Python, ANSYS HFSS, etc
+ Effective verbal and written communication skills necessary to prepare and present briefings, papers, and reports.
+ Systems Engineering of military aircraft systems or subsystems (Knowledge of platform mission scenarios, Airworthiness requirements, etc.)
+ Ability to mentor junior engineers in the systems design process.
+ U.S. citizen and the ability to obtain a DoD Secret Clearance
**Preferred Qualifications:**
+ MS degree in electrical, system engineering or related technical degree with at least 12 years of related experience.
+ Experience in architecting wideband RF Electronic Warfare systems with real-time digital signal processing including signal identification and tracking algorithms.
+ Understanding of RF propagation modeling, computational electromagnetics, wave theory, antenna design, and RF chain analysis.
+ System modeling and simulation experience designing Matlab based models of system functionality to include FPGA functionality.
+ Experience working on Department of Defense contracts.
+ Active Secret Security Clearance
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Rolling Meadows, ILR10135921IllinoisILPrincipal Systems Engineer / Sr. Principal Systems EngineerNone2C8C7D93496740C3A28B7E456CFEA3EFhttp://northropgrumman-veterans.jobs/2C8C7D93496740C3A28B7E456CFEA3EF23LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists. The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The program is an opportunity for transitioning service members to gain valuable civilian work experience through an internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military Internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman during their internship as they continue to receive military compensation and benefits as active-duty service m embers.
We've developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance. During this program you will be working on-site in an entry to mid-level role. You will be receiving on-the-job training 40 hours per week.
**DoD SkillBridge Overview:**
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Department of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members.
During this program you will be on-site at a Northrop location performing an internship in an entry to mid-level career type role. You'll have on-the-job training supporting a work schedule equivalent to 40hrs per week. This program is specifically designed to offer internships that result in the high potential to transition to a full-time opportunity as the conclusion of the training. Outlined below are the Goals, Objectives, and Outcomes for the program.
**Goals** - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NG.
**Objectives** - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
**Outcome** - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**SkillBridge Eligibility:**
+ Has served at least 180 days on active duty
+ Is within 12 months of separation or retirement
+ Will receive an honorable discharge
+ Has taken any service TAPS/TGPS
+ Has attended or participated in an ethics brief within the last 12 months
+ Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
**Location:**
All SkillBridge Opportunities are located in Linthicum/Baltimore, MD
**Modeling & Simulation Systems Engineer:**
Northrop Grumman Mission Systems has an opening for a Mission Modeling, Simulation & Analysis Systems Engineer/Principal Systems Engineer to join our team. The team is a leader in digital transformation, leveraging a model-/simulation-based approach including DevOps principles and High Performance Computing. You will have opportunities to help accelerate the delivery of capabilities to our customers through the development and use of advanced models and simulations of platforms, sensors, weapons, and their interactions with the environment. The role is multidisciplinary in nature and provides tremendous technical growth opportunities as both an individual contributor and team lead.
**Roles & Responsibilities** :
+ Modeling, Simulation and Analysis (MS&A) of advanced systems in tactically relevant environments
+ Leveraging GOTS and COTS physics-based simulation software for large scale data analytics, including the application of design of experiment techniques to evaluate system and mission performance
+ Produce publication quality reports which define the foundation for simulation credibility across all stakeholders and provide the artifacts to support formal simulation Verification, Validation and Accreditation (VVA)
+ Performs operational analysis and mission effectiveness analysis to recommend alternative architectures
+ Defines and reviews mission objectives, assumptions, limitations, and tactical considerations of studies requested by internal and external customers
+ Works with the Chief Engineer to develop and disseminate roadmaps of models, simulations, and tools to both developers and management
+ Produces referent documentation of tactics, techniques, and procedures (TTPs) for different mission areas
**Basic Qualifications for a Systems Engineer:**
Bachelor's degree with 2 years of experience or a Master's degree with 0 years of experience in Systems Engineering, Software Engineering, Electrical Engineering, Computer Engineering or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S. Citizenship is required.
+ An active DoD secret clearance is required and the ability to obtain Special Program Access (SAP) prior to start.
+ System Engineering knowledge of DoD based Platforms, Sensors and/or Sub-systems. Including but not limited to: EO/IR, Cryptography, Satellite, Architecture development, RF, Radar and Undersea Sensors, etc.
+ Familiarity with requirements development and derivation or validation and verification
**Basic Qualifications for a Principal Systems Engineer:**
Bachelor's degree with 5 years of experience, a Master's degree with 3 years of experience or a PhD with 0 years of experience in Systems Engineering, Software Engineering, Electrical Engineering, Computer Engineering or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S. Citizenship is required.
+ An active DoD secret clearance is required and the ability to obtain Special Program Access (SAP) prior to start.
+ System Engineering knowledge of DoD based Platforms, Sensors and/or Sub-systems. Including but not limited to: EO/IR, Cryptography, Satellite, Architecture development, RF, Radar and Undersea Sensors, etc.
+ Familiarity with requirements development and derivation or validation and verification
**Preferred Qualifications** :
+ Advanced degrees in a STEM discipline (Science, Technology, Engineering, Mathematics).
+ Active DoD Top Secret Clearance or higher.
+ Air crew experience
+ USAF Weapons School, US Navy Top Gun, or USMC WTI Graduate
+ Experience using mission or campaign level simulation tools like AFSIM, Brawler, SUPPRESSOR, or STORM
+ System experience with air vehicles, weapons, RF, EOIR, communications or related systems
+ Experience with one or more of the following: Statistics, design of experiments, predictive/prescriptive analytics, high performance computing
This position is contingent upon the successful transfer of an active DoD Secret Clearance and the ability to obtain Special Program Access prior to start.
**Salary Range:** $83,300 - $124,900
**Salary Range 2:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10142006MarylandMDDoD SkillBridge - Systems Engineer - Modeling and SimulationNone32DA712E1BD14532A187C05B7E8CB324http://northropgrumman-veterans.jobs/32DA712E1BD14532A187C05B7E8CB32423Unknown CityNorthrop GrummanUnited StatesUSA2024-03-27 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The selected candidate will install and troubleshoot avionics systems wiring in aircraft in accordance with technical specifications and engineering instructions. Also, performs maintenance, disassembly, rework, repair, replacement, re assembly or adjustment of various vehicle systems. Analyzes and evaluates products and related performance. Fabricates, installs and tests installation, routing, clamping and wiring harnesses. Reads wiring diagrams and blueprints. Maintains applicable customer required records, manuals and inspection forms. Recommends corrective actions and resolves problems using technical publications and analytic techniques. Records information on maintenance data collection forms and automated systems. Troubleshoots technical problems and issues, determines technical solution in accordance with product and customer specifications, and recommends actions to company or customer representatives for coordinate product solution in minimum time. Assesses product needs in accordance with customer specifications. This position will require up to 50% travel.
Basic Qualifications:
Must have a High School Diploma or GED with 6 years of experience
Must have a Secret Level Clearance to start
Must have at least 4 years experience working on Aircraft Avionics Platforms
This position will require up to 50% travel.
MUST HAVE GLOBAL HAWK EXPERIENCE TO BE CONSIDERED
NGSkills
**Salary Range:** $63,200 - $105,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Unknown City, AZR10138682ArizonaAZGlobal Hawk Avionics Technician 4 A(AHT)None50C143C310EC4B7FBF074C446501BB76http://northropgrumman-veterans.jobs/50C143C310EC4B7FBF074C446501BB7623Colorado SpringsNorthrop GrummanUnited StatesUSA2024-03-27 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems, a division within Northrop Grumman's Space Systems Sector is known for pushing the boundaries of innovation, redefining engineering capabilities, and driving advances in various sciences through cutting edge technology. Our team is chartered with providing the skills, and innovative technologies to develop, design, produce, and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
**Northrop Grumman Space Systems** is seeking an **Orbit Analyst (OA)** to be a member of the OA team on the NRO Operations and Launch Support (NOALS) contract at **Schriever AFB, CO.**
The OA will be responsible for astrodynamics analytical support, system problem/anomaly resolution support, data trending, operational product updates, and performance analysis. The team also provides program expertise on engineering subsystems and maintains a baseline of operational products for a complex system. The candidate will have an active role in shaping the basis of how to execute planning and operations. The candidate should be a self-starter who has a passion for space and wants to be part of a team who shares that passion.
**Job responsibilities will include, but not be limited to, the following:**
+ Perform orbit analysis, orbital determination and analysis functions.
+ Generate and provide daily orbital data to execute numerous satellite contacts.
+ Generate and provide daily orbit data to external users.
+ Perform orbital engineering tasks required to support launch operations including sensor coverage and telemetry collection planning using link margin analysis and other tools, predicting trajectory and estimating the final orbit.
+ Participate in program to customer interface requirements definition to ensure program and customer mission success.
+ Interface with external customers to maintain tracking station location, obscura, and Transmit Inhibit Zone data.
+ Participate in testing efforts of newly upgraded tracking stations.
+ Participate in customer education of basic astrodynamics.
+ Support and perform future OA software and hardware upgrades leading to the automation of many of the OA functions supporting both daily OA activities and OA launch support activities.
+ Providing rotating 24x7 on-call support to lead the resolution of system issues and anomalies.
This position requires being on-site and does not support remote or hybrid work.
This requisition may be filled at either a level 02 or a level 03, depending on the candidate's experience as laid out in the basic qualifications detailed below as well as the interview assessment.
**Basic Qualifications:**
+ **Level 2:** Bachelor's degree in a STEM (Science, Technology, Engineering or Mathematics) discipline and 2 years' experience, MS degree and 0 years' experience, or PhD and 0 years' experience.
+ **Level 3:** Bachelor's degree in a STEM (Science, Technology, Engineering, or Mathematics) discipline and 5 years' experience, a MS degree and 3 years' experience, or a PhD and 0 years' experience.
+ **Must possess an ACTIVE/CURRENT Top Secret/SCI clearance with adjudicated polygraph to be considered.**
+ Good organizational skills and the ability to handle multi-tasking including identifying and achieving multiple milestones spread across various calendars and schedules.
+ Ability to create and follow standard processes and clearly document results.
+ Strong troubleshooting and problem-solving skills, demonstrated by frequent and successful application of technical standards, theories, concepts, and techniques.
+ Ability to work rotating on-call shifts and occasionally work odd/extended hours covering a 24/7 period.
**Preferred Qualifications:**
+ Knowledge and experience with STK, ODTK, Matlab, and other COTS products
+ Experience in executing the project life cycle of requirements analysis, design, hardware and/or software development, integration, test, and sustainment.
+ Background in one or more of the following technical disciplines: orbital propagation and perturbations, orbit estimation, orbital maneuvers, modeling and simulation, and analysis
+ Knowledge of communications (network and RF) topologies, including SGLS, K and X band design
+ Knowledge and experience with satellite command & control theories and methodologies
To better support our employee's work/life balance, most NG worksites support a 9/80 work schedule. The 9/80 schedule allows employees to work a nine-hour day Monday through Thursday and take every other Friday off. This schedule or other work-hour flexibility options can be determined upon hire.
With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on Earth every day, now and for the future. Explore your future and launch your career today. #definingpossible
**Salary Range:** $79,300 - $118,900
**Salary Range 2:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Colorado Springs, COR10141531ColoradoCOOrbital Analyst / Principal Orbital Analyst (TS/SCI & Polygraph) - Schriever Space Force BaseNone53C20692428D491892E39F704B566931http://northropgrumman-veterans.jobs/53C20692428D491892E39F704B56693123Edwards AFBNorthrop GrummanUnited StatesUSA2024-03-27 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Senior Principal Software Test Engineer** to join our team of qualified, diverse individuals within our Test and Evaluation organization. This role will start at the Palmdale, CA site, then move to and be located in **Edwards AFB, California** .
In this role, you will design, develop, document, test and debug applications software and systems that contain logical and mathematical solutions. You will also conduct multidisciplinary research and collaborate with equipment designers and/or hardware engineers in the planning, design, development, and utilization of electronic data processing systems for product and commercial software. This role determines computer user needs; analyzes system capabilities to resolve problems on program intent, output requirements, input data acquisition, programming techniques and controls; prepares operating instructions; designs and develops compilers and assemblers, utility programs, and operating systems. Also, ensures software standards are met.
**Key Responsibilities:**
+ Problem-solving skills and root-cause analysis to ensure that curated data is accurate, repeatable, and explainable
+ Design, model, and document the logical and conceptual relationship of data and database changes for metrics and analytics.
+ Effectively generating ideas and supporting building data tools to effectively automate analysis processes and repetitive tasks.
+ Interpret data and analyze results using statistical and analytical techniques to provide ongoing reports and visualizations.
+ Requirement gathering, design, code, and test new software or modify existing software to add new features
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**Basic Qualifications (Sr. Principal Software Test Engineer):**
+ Must have a Bachelor of Science degree in a STEM (Science, Technology, Engineering, and Math) discipline AND 9 years of related professional/military experience to include a background in Software, OR a Master of Science degree in a STEM discipline AND 7 years of related professional/military experience to include a background in Software, OR a STEM Ph.D. AND 4 years of related professional/military experience to include a background in Software
+ Must have the ability to obtain and maintain a DoD Secret clearance prior to the commencement of employment
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance prior to the commencement of employment
+ Must have experience with Agile lifecycle processes: Scrum, Continuous Integration, and Test Driven Development Methodologies
+ Must have agile software development skills and familiarity with Atlassian Tools (Jira, Bitbucket, Confluence, Crucible, Bamboo)
+ Must have experience using a source control system. (Git, Subversion, etc.)
+ Must have experience with Python, JavaScript.
+ Must have experience with relational databases (MySQL, SQL Server, Postgres, etc.)
**Preferred Qualifications:**
+ Current, active DoD Secret or Top-Secret Clearance
+ Experience using data visualization software (e.g. Tableau)
+ Experience with design, code, integration, and test of large distributed systems
+ Experience with automated testing
+ Experience with React, Next.Js, Prisma.JS, Tailwind is a plus.
**Salary Range:** $129,700 - $194,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Edwards Afb, CAR10128490CaliforniaCASenior Principal Software Test EngineerNone62B3A15EB6FC48C88EE0721A5C5D9E8Fhttp://northropgrumman-veterans.jobs/62B3A15EB6FC48C88EE0721A5C5D9E8F23PalmdaleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for an Instrumentation and Data Acquisition Hardware Engineer to join our team of qualified, diverse individuals. This position is located in Palmdale, CA.
As an Instrumentation and Data Acquisition Engineer you'll be part of the design, development, integration, configuration and test of a real-time, hardware in the loop (HITL), Data Acquisition Systems (DAS). Tasking will include design, development, verification and acceptance testing of data builds and real time Mission Control Room configuration files using Symvionics IADS software.
This position will require occasionally work shift work and overtime and travel.
"This requisition may be filled at a higher grade based on qualifications listed below."
**Basic Qualifications:**
" **This requisition may be filled at either a principal or a Sr. Principal Level)**
**Basic Qualifications for** **Principal Instrumentation Data Hardware Engineer:**
+ Bachelor's degree within a science, technical, engineering or mathematics (STEM Degree) discipline with 5 years of test experience, Masters with (STEM Degree) 0 years of experience.
+ Basic understanding of troubleshooting systems to identify and assist in the correct the root cause.
+ Assist with creating, editing and executing procedures.
+ Assist in data acquisition and data collection.
+ Experience with Microsoft Window Family, AutoCAD, MatLab.
+ Requires active DoD Secret Clearance
+ Ability to obtain and maintain PAR (Program Special Access)
**Basic Qualification for Sr Principal Instrumentation Data Hardware Engineer:**
+ Bachelor's degree within a science, technical, engineering or mathematics (STEM Degree) discipline with 9 years of test experience, master's with (STEM Degree) 7 years of experience.
+ Basic understanding of troubleshooting systems to identify and assist in the correct the root cause.
+ Assist with creating, editing and executing procedures.
+ Assist in data acquisition and data collection.
+ Experience with Microsoft Window Family, AutoCAD, MatLab.
+ Requires active DoD Secret Clearance
+ Ability to obtain and maintain PAR (Program Special Access)
**Preferred Qualifications:**
+ Electronics, Analog and Digital Communications, Digital Signal Processes, Computer Communication Networks, Embedded Systems
+ Experience working in Flight Test or Lab Test environments
+ Experience using oscilloscopes and waveform generators.
+ Experience working with Strain Gages, Accelerometers, Pressure Transducers and other commonly used instrumentation sensors
+ Basic knowledge of data bus architecture MIL-STD-1553, IEE-1394, ARINC-429, RS232 an RS422.
+ Experience using Symvionics IADS software and working in a Mission Control Room
+ Experience post-test processing data from a IRIG-106 CH10 recording
+ Experience using TTCWare Application Software
+ Experience working Curtiss-Wright TTC Data Acquisitions Systems
+ Ability to write scripts GUIs or small scale applications a plus.
+ Degree in Electrical or a Bachelor's of Science degree with Instrumentation data experience.
**Salary Range:** $95,000 - $142,000
**Salary Range 2:** $117,700 - $176,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10135180CaliforniaCAPrincipal / Sr Principal Instrumentation and Data Acquisition Hardware EngineerNone7D4089769B3D4F5681A12C4963EFBDD2http://northropgrumman-veterans.jobs/7D4089769B3D4F5681A12C4963EFBDD223Robins AFBNorthrop GrummanUnited StatesUSA2024-03-27 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identifies as veterans, and more than 1,600 are reservists. The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last **6 months of service** , for up to **180 days** . The Northrop Grumman Military Internship Program is open to all ranks and experience levels. SkillBridge participants are **not eligible for compensation** from Northrop Grumman, as they continue to receive military compensation and benefits as **Active-duty service members** .
Responsibilities for this internship position are:
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for Skillbridge . During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives , and Outcomes for the program.
Goals - Provide transitioning service members fellowship-style job skills training during the last portion (s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
Objectives - Service Members who complete the Intern program will be highly trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
Outcome - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
- Has served at least 180 days on active duty
- Is within 12 months of separation or retirement
- Will receive an honorable discharge
- Has taken any service TAPS/TGPS
- Has attended or participated in an ethics brief within the last 12 months
- Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Are you ready to put your experience to work at Northrop Grumman? If so, Our Defense Systems Sector wants You to join Our Team as a SCA Chief Aircraft Inspector 3 **.**
**This position is located at Robins AFB, Georgia and will require being onsite daily.**
****This position is a Service Contract Act position.**
You will be responsible to define and monitor mission assurance program specifications and processes to ensure mission success of programs. You will be responsible for, but not limited to the following: Perform deployed quality control (inspector) duties on Bombardier BD-700 Global Express (USAF E-11A) aircraft.
**Specific Description:**
+ Primary mission is for the maintenance generation of a USAF E-11A (Bombardier BD-700 commercial derivative aircraft (CDA)) fleet. Candidate will ensure all aspects of aircraft maintenance to include planning, execution, quality control, launch and recovery, and direct support to USAF pilots and other personnel are within contract guidelines, company policies, and ground operating procedures.
+ Ensure final inspections are properly performed on all work completed and return aircraft to service in a timely manner.
+ Ensure compliance of FAA and Government Ground Operating Procedures.
+ Determine requirements to conduct post maintenance check flights/functional check flights & provide inspection criteria interpretation to maintenance staff.
+ Assist in maintaining current technical library & applicable aircraft forms & records.
+ Conduct Weight & Balance (W&B) on all assigned aircraft to include completion of all appropriate W&B documentation.
+ Function as site data analyst & technical/compliance documentation administrator.
+ Maintain logbook, CAMP, and Corridor log entries, and other quality records. Ensure final inspections are properly performed on all work completed prior to aircraft being released for Return to Service (RTS).
+ Develop and implement procedures for preliminary inspections, hidden damage inspections, inspection continuity, and final inspections of all maintenance activities to ensure compliance with applicable procedures.
+ Responsible for accurate and timely execution of all required inspection records, reports and forms. Ensures all tools and test equipment are inspected and maintained in a serviceable and, where applicable, calibrated condition and there is no defective, non-conformed or not airworthy parts installed in any component or assembly released to service.
+ Perform quality checks on incoming materials to determine airworthiness prior to being approved for installation.
+ Interaction with customer on aircraft maintenance and return to service/readiness status.
+ Accomplish other tasks as assigned by the manager.
+ Travel: 25% of time possible
**Basic Qualifications:**
+ High School diploma or equivalent with 5 years minimum of Military/Commercial large aircraft maintenance experience
+ FAA Airframe and Powerplant (A&P) License
+ FAA Airworthiness requirements knowledge
+ A current DoD Secret Security Clearance
+ Ability to obtain US Passport
+ Ability to obtain and maintain a Corporate Travel Card
+ Meets DoD SkillBridge qualifications as per DODI 1322.29
**Preferred Qualifications:**
+ Bombardier BD-700 commercial derivative aircraft (CDA) and or Global XRS and Global 6000, USAF E-11A aircraft experience
+ Rolls-Royce BR-710 experience (5 or more years)
+ 3 Years Quality Control Inspector Experience
+ Experience with operations under AS9100 / 9110 certification
+ 10 years transport category aircraft experience
+ Special Mission aircraft experience
+ DoD maintenance program experience
+ Compliance with US Gov't/Department of Defense medical and deployment requirements
+ Ability to meet medical requirements for worldwide travel, which include a physical
**Salary Range:** $32 - $34
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Robins Afb, GAR10145904GeorgiaGASCA Chief Aircraft Inspector 3 (SkillBridge)None7F04A145819E42FAB70B599A2AF7E252http://northropgrumman-veterans.jobs/7F04A145819E42FAB70B599A2AF7E25223BaltimoreNorthrop GrummanUnited StatesUSA2024-03-27 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Job Description**
Northrop Grumman Missions Systems is seeking experienced engineers in the following areas: Antennas, Electromagnetics, Computational Electromagnetics, RF/Microwave design and Software development. The qualified candidate will join a team working toward advanced optimization algorithms that leverage high -fidelity Computational Electromagnetic (CEM)-based simulations. Responsibilities may include algorithm development, software maintenance, performance modeling, GUI & Control development, and more. Experienced applicants will have experience with high-fidelity CEM-based simulations, mixed fidelity techniques (high frequency to full wave methods), time domain methods.
In addition, this candidate should have demonstrated familiarity/technical ability/leadership and expertise in one or more of the following areas:
- Active electronically scanned arrays (AESAs)
- Highly integrated subsystems including antenna hardware
- Reflectors, Reflect Arrays, Digital Beam Forming
- Antenna/RF Performance Analysis
- Computational Electromagnetics, Statistics
- Antenna or Subsystem Control Design
- Antenna Measurements and Data Reduction
- Antenna range integration, test, and system integration
- Subsystem requirements (hardware and software) derivation, flow-down, and configuration
- Familiarity working with embedded real time software and digital systems
- Familiarity with firmware development tool suites including Quartus and Vivado
- Comfortable creating test support applications and tools using MATLAB, python, etc
- High frequency methods, Physical Optics
- Finite Element Method
- Integral Equation Method
- Experience with agile software development methodologies including Scrum and Kanban
- Experience with Atlassian Tool Suite, Git
The Engineering RF Microwave Design positions require the candidates to have the ability to obtain and maintain at least a Secret Clearance per business requirements. An active Secret or higher clearance is a plus!
**Basic Qualification Principal RF Microwave Design Engineer:**
- Must have a Bachelor's Degree in Engineering or related discipline with 5 years of experience in the areas described in the job description. 3 years of experience with a Master's Degree and/or 0 years of experience with a PhD.
- Ability to Obtain and Maintain and Active Secret Clearance.
**Preferred Qualifications** :
- TS/SCI
- Experience using MATLAB
**Salary Range:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10143488MarylandMDPrincipal Engineer RF Microwave DesignNone7F9F3B8511D246C387E0EFBDE4659449http://northropgrumman-veterans.jobs/7F9F3B8511D246C387E0EFBDE465944923MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman** is currently seeking either a **Level 1 or Level 2 HVAC Mechanic** to provide support to our Aerospace Structures team located **on site** in **Melbourne, Florida.**
**Essential Functions:**
+ Installs, services, modifies, rebuilds, and repairs air-conditioning and refrigeration systems and equipment in an industrial or commercial establishment in accordance with applicable building codes and safety regulations
+ Performs periodic inspection to locate and determine cause of equipment malfunctions
+ Conducts maintenance program to prevent failure of such equipment as centrifugal compressors, electrical controls, evaporative condensers, pumps, fans, and heating equipment
+ Charges air-conditioning systems with refrigerant; checks and tests for leaks
+ Sets and adjusts controls and regulators
+ Fabricates, assembles, and installs duct work; overhauls equipment
+ May service, modify, and maintain centralized HVAC computer systems and make program corrections
+ May be required to install and maintain high-technology clean room environments
+ Typically requires completion of a formal apprenticeship or equivalent training and experience
This position may either be filled as either a level 1 HVAC Mechanic or a level 2 HVAC Mechanic.
**Basic Qualifications for a Level 1 HVAC Mechanic:**
+ High School Diploma/GED
+ Experience with HVAC, Chillers, Cooling Tower, Plumbing and Mechanical Systems
+ Active Driver's License
+ Able to obtain/maintain a Security Clearance
**Basic Qualifications for a Level 2 HVAC Mechanic:**
+ High School Diploma/GED and 2 years of experience in HVAC, Chillers, Cooling Tower, Plumbing and Mechanical Systems
+ Active Driver's License
+ Able to obtain/maintain a Security Clearance
**Preferred Qualifications:**
+ Chiller and cooling power experience
+ Maximo Experience
+ Flexibility to occasionally work off shifts and weekends when required
+ Electrical Juryman's license
+ Welding experience/certification
+ Plumbing Juryman's license
+ Hands-on experience with Generators and UPS's
+ Fire system maintenance/modification experience/certification
+ Active, In scope DoD Top Secret or SCI clearance
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly collaborative workplace. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do. Does this sound like you?
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself! Every ERG is inclusive of all employees!
At Northrop Grumman, we are innovating-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Salary Range:** $41,000 - $68,400
**Salary Range 2:** $48,800 - $81,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10138461FloridaFLLevel 1/Level 2 HVAC MechanicNoneBC0D21E064C44746B0D9C4D80FC6DF22http://northropgrumman-veterans.jobs/BC0D21E064C44746B0D9C4D80FC6DF2223ChandlerNorthrop GrummanUnited StatesUSA2024-03-27 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Do you like rockets? Would you like to be part of the future of aerospace? This is a great opportunity for a dynamic individual interested in performing and **leading software development** for space launch systems.
The Software Engineering team is hiring for a **Sr. Principal Lead Embedded Software Engineer,** located in **Chandler, Arizona** .
**Required Qualifications**
+ Bachelor's degree in a STEM discipline (Science, Technology, Engineering or Math) with 9 years of related engineering experience; OR a Master's degree in STEM with 7 years of related engineering experience, or a PhD in STEM with 4 years of related experience.
+ Industry experience developing Object Oriented (OO) software using C++ EVMS
+ Linux based software development
+ Excellent written and verbal communication skills
**Preferred Qualifications**
+ Active Secret Security Clearance
+ Degree Computer Engineering, Computer Science or Software Engineering
+ Python programming language
+ Spacecraft or launch systems software development
+ Avionics Telemetry
+ Embedded real time operating systems (RTOS) environments (LynxOS, VxWorks, Integrity, ...)
+ PowerPC processors (PPC 750, 8280)
+ Symmetric Multiprocessing on real time Linux
+ Digital communications protocols (Ethernet, RS422, CAN, etc)
+ Jira, Git
**Salary Range:** $112,000 - $168,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Chandler, AZR10126594ArizonaAZSr Principal Lead Embedded Software EngineerNoneD5097212FD5843DAAC0D56F46AECCE9Chttp://northropgrumman-veterans.jobs/D5097212FD5843DAAC0D56F46AECCE9C23New MaldenNorthrop GrummanUnited KingdomGBR2024-03-27 06:15:38**Your Opportunity to Define Possible** **.** **Our Opportunity t** **o Deliver the Nation's Security** **. Together.**
**Role Clearance Type:**
**You must be able to gain and maintain the relevant UK Government clearance in the line with the job role (SC)**
**Location:** Burlington House, New Malden, Kingston-Upon-Thames, London, UK.
**About Your Opportunity:**
**Work on pioneering projects that use** **cutting-edge technology and innovation for strategically important National Defence Programmes** **.**
As a key member of the Systems Safety team, you will lead the delivery of complex safety critical systems and defence standards across multiple platforms. Undertaking safety engineering activities in accordance with the NG safety processes and using guidance from Def Stan 00-056 and IEC61508.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible and hybrid working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme -** exceptional performance is recognized through our annual incentive programme which is awarded to top performers who excel
+ **Career Development -** opportunity for ongoing professional development and career growth opportunities
**Your Responsibilities:**
+ Safety Analysis such as Hazard and Operability Studies (HAZOPs), Functional Failure Analysis (FFA), Fault Tree Analysis (FTA), Failure Mode, Effects and Criticality Analysis (FMECA) in accordance with the NG safety processes and using guidance from Def Stan 00-056 and IEC61508.
+ Generate safety requirements for the system to ensure that the customer's safety targets and derived safety targets can be met by the system design
+ Presenting complex and reasoned safety arguments to internal and external stakeholders in order to influence their consideration of safety decisions
+ Working collaboratively within multi-disciplined teams to maximise NGs reputation by generating high quality and well-reasoned outputs
+ Supporting audits at internal and external design reviews and safety committee meetings
**Your Experience:**
+ Proven experience in delivering and communicating Systems Safety within the UK Defence industry, a degree, HND or HNC in Engineering or Safety Management related discipline or equivalent relevant experience in Systems Safety Engineering
+ Awareness, understanding and application of international and defence standards such as IEC 61508 and Def Stan 00-056
+ Awareness of safety assurance of COTS PE based systems or high integrity software
+ Experience in safety/reliability analysis techniques: hazard identification and analysis, FMECA, FTA, Functional Failure Analysis
+ Awareness, understanding and application of the tools and techniques used in Risk Assessments and developing ALARP justifications
**Your Future Team:**
"The goal for the team through innovation, commitment and ownership is to provide the support needed by our armed forces now and into the future"
We are a small growing team developing a new capability within NGUK. Our team brings together the Systems Safety and ILS disciplines to enable us to learn from each other and to enable cross pollination of ideas and ways of working that benefit you, the team, NGUKL and ultimately the client **,** supporting our customer mission in to new technologies.
Diversity is at the heart of our success. Our team share experience, knowledge and new thinking gained from a wide range of backgrounds perspective, culture, gender, race, age and many other elements across several industries. We welcome candidates from all backgrounds and particularly from communities currently under-represented within our industry . We treat everyone with respect and foster safe and inclusive environments.
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And w ithin a respectful and inspirational environment, we value what you say and do.
**How to Apply:**
**Interested in our opportunity?**
**Yes** - then simply submit your application online. Your application will be reviewed by one of our expert recruiters who'll then respond advising you of the outcome and next steps for successful candidates.
**Possibly, I'd like to find out more** - email talentenquiries@uk.ngc.com to connect with one of our Sourcing Specialists who will be happy to support you with any enquires.
Background checks and potentially security clearance form part of the recruitment process, our team will inform you of the procedures when required.
**Northrop Grumman UK:**
Work with a global brand that makes a real contribution to our nation's security and future. At Northrop Grumman UK, the brightest minds come together to push the boundaries and Define Possible. As leaders in the digital transformation of Aerospace, Defence and Intelligence we are providing ground-breaking outcomes for our customers.
**UK Defence Business:**
Our UK Defence business is a Sovereign software and systems centre of excellence. As well as developing and supporting UK wide and internationally deployed multi-domain command and control systems, our work is critical to the modern backbone of the Royal Navy. We pioneer - with fierce curiosity, dedication, and innovation, we seek to solve the world's most challenging problems.
**Find out more** : https://www.northropgrumman.com/careers/job-search-united-kingdom/
\#LI-PL1 #LI-Hybrid
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.New Malden, GBRR10049103Principal Safety EngineerNoneECC0161FC5CE4A6B8CA1A51FC9A47CCDhttp://northropgrumman-veterans.jobs/ECC0161FC5CE4A6B8CA1A51FC9A47CCD23MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems** sector has an opening for a **Staff Mechanical Engineer specializing in Dimensional Engineering** to join our team of qualified, diverse individuals within our Vehicle Engineering organization in **Melbourne, FL** . The qualified applicant will become part of Northrop Grumman's Digital Transformation team working in a collaborative environment while promoting a positive and proactive teamwork environment.
**Job Summary:** The Dimensional Engineer shall serve the sector as the accountable custodian for all aspects of the dimensional configuration of our vehicle platforms. Through utilization of 1D / 2D stack up analysis and 3D model-based variation analysis tools, they will be responsible for development and implementation of various dimensional control strategies to reduce, manage, and absorb vehicle assembly variation throughout the entire build process. As a subject-matter expert in Geometric Dimensioning and Tolerancing (GD&T), the Dimensional Engineer shall support all design activities as needed to ensure our designers are executing on these strategies through proper communication of dimensioning and tolerancing per ASME Y14.5 and related industry standards.
**Key Responsibilities:**
+ The Dimensional Engineer shall provide guidance to Production Operations and Design IPTs, challenging traditional methods when appropriate for the purpose of improving repeatability, optimizing for build variation, and reducing build costs.
+ The Dimensional Engineer shall be responsible for supporting advanced Building Block (manufacturing test article) activities to analyze and validate metrology data, participate in problem resolution, and confirm that proposed dimensional control strategies are meeting program objectives.
+ The Dimensional Engineer will help their team to develop/document best practices, mentor individuals in the analysis process and tool suite, and interface with program leadership across multiple programs to further the development of the Dimensional Engineering Team (DET) organization.
+ Upon request, the Dimensional Engineer shall provide relevant course instruction across a multitude of technical disciplines. They may be tasked with producing various forms of Dimensional Engineering best-practice documentation to flow out to the Design and Manufacturing communities - across a particular program, an entire sector, or the enterprise at large - to advance the utilization and development of the Dimensional Engineering organization.
**Basic Qualifications:**
+ Bachelor of Science (BS) degree in a STEM discipline (Science, Technology, Engineering or Math) and 14 years of related experience; OR Master's degree in a STEM discipline and 12 years of related experience
+ Experience with GD&T and familiarity with detail/assembly detuning best practices
+ Experience in performing tolerance stack-up analysis on production scale assemblies
+ Knowledge of statistical qualification of machinery, equipment, and gages
+ Must have the ability to obtain and maintain a DoD Secret clearance prior to the commencement of employment
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance
**Preferred Qualifications:**
+ Current, in-scope DoD Secret (or higher) clearance (with a background investigation within the past 6 years or enrolled into Continuous Evaluation)
+ Current Special Program Access
+ Experience working in an aerospace design environment. Emphasis placed in the following disciplines: Structural Design/Analysis, Subsystems Design/Analysis, Electrical/Mechanical Routing, or Mechanism Design/Analysis
+ In-depth knowledge of GD&T and application of ASME Y14.5-2009
+ ASME Y14.5 GDTP 2009 Senior-Certification
+ Experience working with Teamcenter and NX (or equivalent PDM/PLM and CAD/CAE applications)
+ Proficiency in Siemens Vis-VSA and/or 3DCS Variation Analysis software (including FEA)
+ Experience reviewing and interpreting engineering model-based definition
+ Ability to document and maintain process methods
+ Ability to interface/communicate with multiple levels of leadership, clients, and vendors
+ Lean, Six Sigma, 5S knowledge
**We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.**
**Salary Range:** $129,500 - $194,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10142496FloridaFLStaff Dimensional EngineerNoneFF1468FADB4E46E391B4BBEBE33D5868http://northropgrumman-veterans.jobs/FF1468FADB4E46E391B4BBEBE33D586823BaltimoreNorthrop GrummanUnited StatesUSA2024-03-27 06:15:38At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identifies as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. NG-MIP is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military Internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members.
**Responsibilities for this internship position are:**
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SKillBridge) utilizing the DoDI guidance for SkillBridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
**Goals** - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
**Objectives** - Service Members who complete the Intern program will be highly trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
**Outcome** - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
+ **Has served at least 180 days on active duty**
+ **Is within 12 months of separation or retirement**
+ **Will receive an honorable discharge**
+ **Has taken any service TAPS/TGPS**
+ **Has attended or participated in an ethics brief within the last 12 months**
+ **Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.**
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
The Payload and Ground Systems Division (PGSD) within Northrop Grumman Space Systems, pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
PGSD Remote Sensing Programs (RSP) Business Unit is a strategic partner specializing in delivering intelligence, surveillance, and reconnaissance solutions for classified and unclassified missions in space. Headquartered in Linthicum, Maryland, PGSD RSP has offices, manufacturing, and world-class assembly, integration, and test facilities. We do it all here, on-site, from sensors to payloads to full up spacecraft, supporting Class A to Class D missions. Our key capabilities include Active RF, Passive RF, and Electro-Optical payloads, space solar power, cross domain missions, and space resilience payloads & solutions.
We have a SkillBridge internship opening for an experienced Electronics Engineer / Principal Electronics Engineer to join our team of qualified, diverse individuals in the Space Systems organization. This position is in **Baltimore, MD.**
This requisition is for a Test Set Design Engineer, who will be responsible for the design and fabrication of the Electrical Ground Support Equipment (EGSE) suite of test sets. The EGSE test sets are used to checkout, integrate, and operate Flight hardware during ground test. These test sets provide power, control, telemetry, safety interlocking and cooling. This candidate will be part of a small EGSE Design Team supporting several programs.
**Responsibilities include but are not limited to:**
+ Panel & cable design, electrical interconnects & schematics, developing acceptance criteria & certification procedures, and hardware checkout/troubleshooting.
+ Root cause determination, problem resolution and documentation are expected.
+ Interface with subsystem test engineers, test operators, technicians, systems administrators, security team, and vendors to provide support for various test set tasks as required.
+ Full design cycle of EGSE, from conception through design to fabrication and integration/test.
**This SkillBridge Internship can be filled at the Electrical Engineer (level 2) or Principal Electrical Engineer (level 3) position.**
**Basic Qualifications Electronics Engineer (level 2):**
+ Bachelor's degree in STEM discipline with 2 years of related experience, a master's degree in STEM discipline with 0 years of related experience
+ Proficient in hardware design to include panel and/or cable design, schematic layouts, etc.
+ Minimum of 1 years' experience in hardware design
+ Must have an Active Secret security clearance with the ability to obtain and maintain a TS
**Basic Qualifications Principal Electronics Engineer (level 3):**
+ Bachelor's degree in STEM discipline with 5 years of related experience, a master's degree in STEM discipline with 3 years of related experience, or Ph.D. with 0 years of experience.
+ Proficient in hardware design to include panel and/or cable design, schematic layouts, etc.
+ Minimum of 1 years' experience in hardware design
+ Must have an Active Secret security clearance with the ability to obtain and maintain a TS
**Preferred Qualifications:**
+ Active TS clearance
+ Minimum of 3 years' experience in hardware design
+ Proficient with integrated (analog, power, digital) hardware design
+ Proficient with lab test equipment, such as DMMs, O-Scopes, or related
+ Proficient with electrical design tools (e.g., Zuken, AutoCAD, PSpice)
+ Proficient with hands-on troubleshooting with electrical hardware
+ Proficient in Test Automation Software (LabView, TCL, MATLAB)
**Salary Range:** $83,300 - $124,900
**Salary Range 2:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10144998MarylandMDNorthrop Grumman (DoD SkillBridge) Space Electrical Engineer / Principal Electrical Engineer (Active Secret Security Clearance Required)None57FFC0D3B98041B2BDC0145DAE922D43http://northropgrumman-veterans.jobs/57FFC0D3B98041B2BDC0145DAE922D4323CommerceNorthrop GrummanUnited StatesUSA2024-03-27 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
An organization within Northrop Grumman Space Systems' Payload and Ground Systems (PGS) division, Mission Enabled Products is a leading designer, producer, and supplier of spacecraft components that power and enable satellites of all classes. Products include spacecraft panels, bus structures, precision optical structures, deployable structural systems and mechanisms, solar arrays, and antenna reflectors. Our products are on virtually every U.S. satellite built in the last 20 years. Seeking an enthusiastic and highly organized proposal manager with strong multi-tasking and people person skills
Learn more about Mission Enabled Products at our website: https://www.northropgrumman.com/space/spacecraft-components/
**Responsibilities of Manufacturing Manager:**
**NOTE: This position is a combination of Operations Manager and Program Manager.**
+ Managing and maintaining the day-to-day interfaces and communications between the assigned Product Leaders, Program Managers, Manufacturing, Planning, Test, Manufacturing Resource Planning (MRP), Mission Assurance (MA), Supply Chain (SCM), Engineering, and Shipping.
+ Achieving targeted cost and schedule requirements.
+ Facilitating internal meetings in a way to support projects, production, and problem resolutions.
+ Support manufacturing cost improvement projects.
+ Leading or participating on a product team, responsible for product-level requirements analysis, engineering and manufacturing of the products assigned, product tracking, and product visibility.
+ Driving constraint resolution and creating / managing any applicable manufacturing risks and/or opportunities.
+ Supporting proposal activity as a manufacturing.
+ Drive execution priority programs throughout the shop.
**Basic Qualifications:**
+ A Bachelor's degree and 5 years of relevant work experience.
+ The candidate must have experience in leadership and project management as well as operations management.
+ Experience with SAP or other Enterprise Resource Planning (ERP) system and MS Office applications including Excel, Word, Project, and Power Point
**Preferred Qualifications:**
+ Bachelor's degree in Engineering.
+ Experience with cost, schedule, project leadership, and/or process improvement
+ Manufacturing or Engineering experience.
+ Experience with bases of estimates, shop order creation, and Earned Value Management Systems (EVMS).
**Salary Range:** $122,200 - $183,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Commerce, CAR10141240CaliforniaCAOperations Program Manager - MEPNone00D943EEA81045D98ADB93778E4D1639http://northropgrumman-veterans.jobs/00D943EEA81045D98ADB93778E4D163923BuffaloNorthrop GrummanUnited StatesUSA2024-03-27 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems sector is seeking an **Inventory/Material Control Support 2** to join the team at our **Buffalo, NY location.**
The Inventory/Material Control associate obtains work orders and picks the correct parts, prepares kits for production, receives incoming stock of materials, transfers/relocates the materials via both computer and physically where needed, performs multiple types of inventory transactions, and conducts cycle counts of inventory. He/she verifies purchase order receipts and material requirements; prepares inventory records and investigates discrepancies in stock counts. Assists as a backup for shipping and receiving, as well as transporting materials between facilities, which may require lifting up to 50 pounds. Responsible for maintaining a neat, clean, and organized workspace. The Inventory/Material Control Associate will maintain excellent customer relations and develop customer rapport.
The ideal candidate will be detail oriented, with effective written and verbal communication skills. He/she will have strong interpersonal, organizational, and problem-solving skills and the ability to work in a dynamic environment.
**Basic Qualifications:**
+ 2 years of relevant experience, which includes material control, inventory, stockroom, warehouse, or similar experience.
+ U.S. Citizenship.
+ Ability to move and position objects weighing 50 pounds.
+ Current valid driver's license with the ability to drive between Amherst building locations based upon need.
**Preferred Qualifications:**
+ Experience working in SAP.
+ Ability to work with a microscope.
+ Ability to drive a forklift or work with an overhead crane.
+ Proficient in MS Office products - Excel, PowerPoint, and Word.
+ Ability to obtain and maintain a Department of Defense (DoD) security clearance.
MANUMS
**Salary Range:** $37,200 - $62,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Buffalo, NYR10144565New YorkNYInventory Material Control Support 2None01CF0E2C09F94A91AB78384DE3C53330http://northropgrumman-veterans.jobs/01CF0E2C09F94A91AB78384DE3C5333023NorthridgeNorthrop GrummanUnited StatesUSA2024-03-27 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Are you ready to #definepossible in your next career growth opportunity as a **Manager Electrical Engineering 2 - RF Systems** ? If so, **Northrop Grumman Defense Systems** in **Northridge, CA** may be the employer for you!
Reporting to the Director of Hardware Engineering, the **Manager Electrical Engineering 2 - RF Systems** will be responsible for supporting the implementation of strategic goals and objectives as applicable to the RF Engineering Group to support business objectives. The role will ensure engineering projects, initiatives, and processes are in conformance with the organization's established policies and procedures.
Success in this role will reflect extensive experience and judgment to plan and accomplish goals. Familiarity with RADAR systems, EW systems, and other signal processing systems and methods is preferred. System design and engineering development and transition-to-production experience is necessary as this position will require technical leadership and know-how in transitioning engineering development systems to full rate production. Skills and experience in multi-discipline engineering, systems integration and test are essential.
**Relocation assistance, while not guaranteed, may be available.**
**The selected candidate will be required to work full-time, on-site at our facility in Northridge, CA. Telework is not available for this position.**
**Essential Duties and Responsibilities:**
+ Manage the RF Engineering Department
+ Ensure appropriate program technical staffing, hiring plans, and staff development are coordinated & aligned with Engineering and Program Management.
+ Identify capital, facility, and other resource requirements to secure discretionary funding to support development and transition to production needs.
+ Coach and develop staff by providing an environment that encourages ongoing professional growth.
+ Ensure staff receives the appropriate training and skill development opportunities to be successful in their roles.
+ Support proposal development and substantiation of related contract activities.
+ Champion engineering process improvement initiatives to ensure alignment of operations initiatives.
+ Act as resource to the department staff by providing guidance, interpretation, training and key input regarding operating procedures and processes.
**Basic Qualifications:**
BS degree in Physics, Electrical or Mechanical Engineering or equivalent required; MS preferred.
9+ years of experience or MS + 7 years experience in engineering.
Demonstrated experience in leading personnel.
Experience estimating tasking as well as authoring and approving Basis of Estimates (BOEs) for Proposals.
Multi-disciplinary engineering experience desired.
*Must have a current/active Secret clearance.
**Preferred Qualifications:**
Masters Degree in Electrical Engineering or equivalent.
Experience in Missile Development, transition from Technology Development to EMD, EMD to Limited Rate Production and product life-cycle engineering.
Experience in leadership of technical teams to include in-house development and subcontractor activities
Demonstrated history of technical progression leading to engineering leadership position.
Experience in program leadership.
*Living in Northridge offers a perfect combination of urban living with the beauty of nature. It is a short drive away from downtown Los Angeles, the Hollywood Hills, or the rest of the San Fernando Valley.
Take advantage of your flexible work schedule to enjoy shopping at the local Northridge Fashion Center or spend time at the local Recreation Center as well as easy access to nearby protected natural areas such as the Chatsworth Reservoir, the Santa Susana Pass State Historic Park, Lake Balboa or within driving distance, spend a day at the beach or snow ski at our local mountains. With Cal State Northridge right in the heart of town, the area has an active college-town energy combined with family-friendly suburban charm. The stunning southern California climate makes for year-round easy living. With an average of 284 sunny days during the year , it is safe to say you'll have plenty of time to enjoy your outdoor activities to the fullest.
\#definingpossible
For more information, please click on this link below:
Northrop Grumman in Northridge-California - Northrop Grumman
**Salary Range:** $147,800 - $221,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Northridge, CAR10137525CaliforniaCAManager Electrical Engineering 2 (RF)None14B6E7CFDA12427E9E064D611B6955F3http://northropgrumman-veterans.jobs/14B6E7CFDA12427E9E064D611B6955F323Manhattan BeachNorthrop GrummanUnited StatesUSA2024-03-27 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is currently seeking a **Systems Engineering Department Manager 3** to join our Engineering team within Strategic Deterrent Systems (SDS) Division at the **Manhattan Beach, CA** facility.
**What You'll Get To Do**
This selected candidate will be responsible for a department within the Systems Engineering organization and will report directly to the SDS Systems Engineering Director. You will set operating objectives and provide mentorship to Functional Home Room (FHR) Section Managers (SMs) based on Engineering goals, objectives, and company policy. Provide oversight and assistance to multiple business areas within the division portfolio (Sentinel/GBSD, ICBM Sustainment and Advanced Programs); to provide the people, skills, and processes for successful achievement of program goals.
As the Department Manager you will be responsible for managing planning, risk, project performance, schedule, technical quality, and addressing technical or operational problems when necessary. Will assist engineers and program staff to develop and maintain talent within the organization. Have frequent contact with equivalent level managers and programs to maintain and exceed health and performance for all programs.
The successful candidate will lead a team of engineers ranging across one or more of the following engineering disciplines: Mission / Weapon Systems Engineering, Command & Control Systems, Launch Systems, Flight Systems, Support & Training Equipment, Configuration / Data Management, Logistics, User Training & Manuals, Facilities, Nuclear Surety, System Security, System Safety, Human Systems Integration, Nuclear Hardness / EEE, and others.
Essential Functions
+ Excellent communication, mentoring, interpersonal skills, and the ability to collaborate with senior management, peers, and employees.
+ Provides ownership for the people, processes, and tools that support business areas and programs in achieving their missions.
+ Accountability for recruiting, staffing, and retaining talent to deliver on the objectives.
+ Supporting proposal work, independent technical reviews, program standup and audits, and special assignments on an as needed basis.
+ Owning and leading improvements across employee engagement, hiring, development, training, attraction, and retention of top talent.
+ Mentor, develop, and train your section managers, and overall employee base.
+ Reviews and analyzes released engineering change data and coordinates changes with engineering, quality, support, manufacturing, and engineering data control activities.
**Position Benefits**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**You'll Bring These Qualifications:**
+ Bachelor's Degree with 8 years of related experience in STEM (Science, Technology, Engineering or Mathematics) or Master's Degree in STEM and 6 years of related experience.
+ Minimum of 3 years in a formal lead/ management position.
+ Must have U.S. Citizenship with a current or active Secret level clearance and the ability to obtain Top Secret Clearance and Special Program Access.
**These Qualifications Would be Nice to Have:**
+ Master's Degree with 9 years of experience in STEM (Science, Technology, Engineering or Mathematics), preferably an Engineering degree.
+ Proven performance as an Engineering Leader in all phases of acquisition, design, integration, and execution. Candidate will require ability to rapidly assess and lend guidance to projects assigned to the organizational group as well as demonstrated mentoring and guidance to engineers.
+ Working knowledge and/or experience across engineering disciplines.
+ Experience on ICBMs.
+ Experience creating or growing new sections or departments.
+ Experience managing an engineering team.
+ Experience working in Model-Based Systems Engineering tools such as Cameo, Rhapsody, ModelCenter, Ansys, or PTC Windchill Modeler.
+ Familiarity with Agile engineering and Jira.
+ Active TS Clearance. Program Access.
**Salary Range:** $180,600 - $271,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Manhattan Beach, CAR10150865CaliforniaCASystems Engineering Department Manager 3 (12322 - 12323)None16D7A88991944671AB4949C79902E6F6http://northropgrumman-veterans.jobs/16D7A88991944671AB4949C79902E6F623RoyNorthrop GrummanUnited StatesUSA2024-03-27 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **PM&P NG Fellow 1** . This position will be located in Huntsville, **AL or Roy, Utah** and will support the Sentinel (GBSD) program. This role may offer a competitive relocation assistance package.
This lead is expected to drive engineering execution, develop talent, build strong teams, lead change, and solve complex technical problems. Provides technical leadership in PM&P in relation to program execution, champions culture change, and mentors junior engineers. Proactively interface with Sentinel segments, as well as to other organizations within SDSD Engineering, enhancing collaboration to ensure excellent program performance. Leads/assists with various technical studies, tiger teams as appropriate. Provides technical leadership to a large team of PM&P engineers within a matrixed functional organization, ensuring integration and collaboration across design and manufacturing teams under an overarching Systems Engineering framework.
What You'll Get To Do:
+ Conduct multidisciplinary research and collaborate with systems engineers, specialty engineering functions, equipment designers and/or hardware engineers, manufacturing and procurement functions, Quality, Mission Assurance
+ PM&P technical representative to customers and senior leadership, developing and maintaining strong relationships and communicating effectively with executives
+ Perform risk analysis, trade studies, process improvement analysis, cost estimates, as applicable.
+ Provide technical leadership, mentorship, and expertise across a range of PM&P domains: electronic and electrical parts and materials, parts management, DMSMS, metals and corrosion, non-metallics, part and material specifications and manufacturability, material qualification testing and interpretation of results
+ Collaborate with other engineering assignment managers/leads to align priorities and support overall execution of program objectives
+ Lead collaborative efforts to allocate and deconflict PM&P requirements where required
+ SDS Division PM&P Community of Practice advisor or chair
+ Chair the program Parts, Materials and Processes Review Board
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
• Medical, Dental & Vision coverage
• 401k
• Educational Assistance
• Life Insurance
• Employee Assistance Programs & Work/Life Solutions
• Paid Time Off
• Health & Wellness Resources
• Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
You'll Bring These Qualifications:
+ Bachelors degree in STEM with 20 years of related experience; or 18 years with a Masters degree; or 15 with a PhD
+ Must have the ability to obtain and maintain an active in-scope DoD Secret clearance or higher and Special Program Access
+ 10+ years of experience with the following:
+ PM&P experience throughout the program lifecycle and understanding of challenges and PM&P typical workload at different lifecycle phases
+ Managing technical teams
+ Deep understanding of requirements (managing, deconflicting, tailoring, traceability)
+ Military standards - Understands mil-std-3018, mil-std-1568, mil-std-889, SAE AS12500, and familiar with MIL-STD-11991.
+ Supporting PM&P for electrical/electronic systems. Understanding of soldering, conformal coating, staking, PWB's, J-standards, and testing of boards.
+ Deep understanding of material evaluation techniques and methods, skilled at interpretation of results and communicating impact to program
+ Auditing supply base, particularly process shops such as plating vendors, heat treaters, PWB vendors, and circuit card assembly
+ GIDEPs/ obsolescence issues and warnings
+ Understand environmental regulations impact on material/part selection and have worked substitutions/alternatives trade studies
+ Understanding of propulsion systems and missile systems
+ Understanding of technical/ performance risk management
These Qualifications Would be Nice to Have:
+ Candidate will demonstrate ability to communicate effectively across engineering disciplines and design teams. Must be able to work both independently and collaboratively to resolve issues, determine priorities, and implement solutions.
+ Experience interpreting and ensuring design compliance to industry and/or military standards and specifications for parts and materials
+ ICBM experience
+ Working knowledge of SharePoint, JIRA, Confluence, Microsoft teams, siemens teamcenter or other life cycle management systems
+ Experience writing and defending technical position papers
+ Experience working in Agile and digital design environments
+ Understanding of supply chain management as it relates to parts management and obtaining technical specifications on components
+ Ability to function in a dynamic and collaborative environment, and be flexible to changing direction
+ Demonstrated ability to lead complex projects with proven collaboration and coordination skills across internal and external stakeholder organizations
+ Conflict management and negotiation skills
+ Demonstrated ability to make tough decisions
+ Exceptional communication skills (verbal, written, presentation) across all levels of the organization and strong partnership/collaboration skills
**Salary Range:** $178,900 - $296,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10141196UtahUTPM&P NG Fellow 1None1B48DC12E2E94533BEED3C1F95D9E66Ahttp://northropgrumman-veterans.jobs/1B48DC12E2E94533BEED3C1F95D9E66A23RonkonkomaNorthrop GrummanUnited StatesUSA2024-03-27 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identifies as veterans, and more than 1,600 are reservists. The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last **6 months of service** , for up to **180 days** . The Northrop Grumman Military Internship Program is open to all ranks and experience levels. SkillBridge participants are **not eligible for compensation** from Northrop Grumman, as they continue to receive military compensation and benefits as **Active-duty service members** .
**Responsibilities for this internship position are:**
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for Skillbridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
Goals - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
Objectives - Service Members who complete the Intern program will be highly trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
Outcome - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
- Has served at least 180 days on active duty
- Is within 12 months of separation or retirement
- Will receive an honorable discharge
- Has taken any service TAPS/TGPS
- Has attended or participated in an ethics brief within the last 12 months
- Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship
**Job Description:**
Sets up and operates a variety of machine tools such as lathes, milling machines, shapers, planers, and precision grinders to make metal parts, mechanisms, tools, or machines to exacting tolerances and dimensions. Interprets blueprints, sketches, and engineering specifications; determines sequence of operations, number of cuts required, and method of setup; uses a variety of machinist hand tools and precision measuring instruments; makes standard shop computations relating to dimensions of work, tooling, feeds, and speeds; measures, marks, and scribes dimensions and reference points to lay out stock for machining; fits and assembles precision parts into mechanical equipment and determines material, parts, and equipment required. Some machines may be numerically controlled. May monitor and verify quality in accordance with statistical process or other control procedures. Typically requires completion of a formal apprenticeship or equivalent training and experience.
**Responsibilities**
+ Setup of parts on machine:
+ Propper use of vice and other hold down methods
+ Use indicator to locate work piece
+ Machine operation
+ Ability to Program machine in G code (HAAS Controller)
+ Ability to load programs onto machine as provided by Shop lead
+ Ability to operate multiple machines concurrently
+ Preparation of Material for Machinists staff
+ Deburring
+ Use of cutoff saw to near net shape prior to machining
+ Roughing operations
+ Assembly of test articles
+ Tack welding
+ Instrumentation installation
+ Mechanical assembly
+ Conventional Machining
+ Drilling, milling, and turning
**Basic Qualifications Level 2**
+ High school diploma or equivalent with 2 years' experience in aerospace industry or related field.
+ Knowledge of mechanical and Pneumatic systems
+ Must have ability to operate small hand tools
+ Ability to read and interpret drawings and schematics.
**Basic Qualifications Level 3**
+ High School diploma or equivalent with 4 years' experience in aerospace industry or related field
+ Knowledge of mechanical and Pneumatic systems
+ Must have ability to operate small hand tools
+ Ability to read and interpret drawings and schematics.
**Preferred Qualifications**
+ Associate degree or Trade School certification
+ Knowledge of Soldering, Welding, and brazing techniques
DSSB
**Salary Range:** $47,600 - $79,300
**Salary Range 2:** $56,600 - $94,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Ronkonkoma, NYR10110253New YorkNYMachinist/Machine Operator (SkillBridge)None351AFF51922A475AB7A2909F9C831C0Fhttp://northropgrumman-veterans.jobs/351AFF51922A475AB7A2909F9C831C0F23BaltimoreNorthrop GrummanUnited StatesUSA2024-03-27 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems Manufacturing Operations Test Engineering Team in **Baltimore, MD** seeks an **RF Test and Development Engineer or Principal** **RF Test and Development Engineer** to join our team. **This is a** **2nd shift position.**
This career opportunity is a great fit for Engineers who want to **assume ownership of the performance and delivery of Northrop Grumman's diverse suite of RF and digital product hardware** . Our Engineers work in a high exposure, fast paced, and exciting environment that involves "hands on" technical challenges, problem solving, and inter-department collaborations. If you thrive on solving problems and want different challenges each day, please read on!
We are seeking RF and digital knowledgeable engineers who are passionate about monitoring and supporting product technical performance and maintaining product delivery schedules. **The RF Test and Development Engineer will support the development, integration, and testing of production hardware.** Activities range from hands-on testing and troubleshooting; to analysis of failure trends, root cause/ corrective actions; and attending program meetings to report yields, problems, and corrective actions.
**What You'll Get to Do** :
+ Design, develop, and implement testing methods and equipment
+ Troubleshoot and support RF and digital hardware
+ Support First Time Through Test Yield (FTTTY) and hardware deliveries
+ Analyze test data and identify early trends
+ Compile data and define changes required in testing equipment, testing procedures, manufacturing processes, or new testing requirements
+ Collaborate with design engineering to develop and implement hardware improvements and solutions
+ Support technicians through troubleshoot and training
+ Monitor product yields and maintain program yield targets
+ Support program meetings in developing root cause and corrective actions
+ Attend program meetings to report yield issues, yield detractor investigation finding, and corrective actions
+ Interface with manufacturing management, test technicians, production engineers, design engineers, system engineers, program management and program support teams
The successful candidate will have a working knowledge of RF theory and concepts; and a working knowledge of digital circuit design. They will have analytical skills to review requirements, test plans, and test scenarios; and the ability to effectively communicate across teams. They should be self-directed, organized, team oriented and have previous experience with MS Office tools.
A DoD Secret level security clearance is required for this position.
This is a 2nd shift position.
This position may be filled as either an RF Test and Development Engineer or a Principal RF Test and Development Engineer.
**Basic Qualifications for an RF Test and Development Engineer:**
+ Bachelor's degree in Electrical Engineering or related STEM field plus 2 years of relevant experience; or a Master's degree in Electrical Engineering or related STEM field plus 0 years of experience.
+ Ability to read and interpret specifications, schematics and block diagrams
+ Experience with RF hardware and software
+ Experience with RF test equipment, such as oscilloscopes, power meter, spectrum analyzer, signal generator
+ Current, active Department of Defense (DoD) Secret level clearance
+ U.S. Citizenship
**Basic Qualifications for a Principal RF Test and Development Engineer:**
+ Bachelor's degree in Electrical Engineering or related STEM field plus 5 years of relevant experience; or a Master's degree in Electrical Engineering or related STEM field plus 3 years of experience .
+ Ability to read and interpret specifications, schematics and block diagrams
+ Experience with RF hardware and software
+ Experience with RF test equipment, such as oscilloscopes, power meter, spectrum analyzer, signal generator
+ Current, active Department of Defense (DoD) Secret level clearance
+ U.S. Citizenship
**Preferred Qualifications for both levels:**
+ Advanced degree in Engineering or related STEM discipline
+ Ability to work with minimal direction and guidance
+ Experience with FPGA
+ Experience with LabWindows CVI, C / C++ programming
+ TestStand programming
+ Proficiency with digital, analog, RF test equipment, RF theory and high speed digital.
+ Familiarity with differential digital interfaces like LVDS
+ PXI/PXIe and VME bus experience
+ Hands-on troubleshooting of electrical hardware
RFTBWI
MANUMS
**Salary Range:** $83,300 - $124,900
**Salary Range 2:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10145338MarylandMDRF Test and Development Engineer / Principal RF Test and Development Engineer - 2nd shiftNone39BAB3F9F8454F99BB3ABE5F030B9410http://northropgrumman-veterans.jobs/39BAB3F9F8454F99BB3ABE5F030B941023San DiegoNorthrop GrummanUnited StatesUSA2024-03-27 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Job Description
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
The Northrop Grumman Networked Information Solutions Division of Northrop Grumman Mission Systems is looking for a **Principal Contract Administrator** to join our Strategic Networking Application & Products (SNAP) Advanced Network Solutions (ANS) Operating Unit in San Diego, CA.
This position will require excellent oral and written communication skills and will represent the organization as a focal point on contract matters. This position will require interaction with senior internal and external personnel on significant matters often requiring coordination between organizations and may develop and deliver presentations.
**What You'll Get to Do:**
The **Principal Contract Administrator** will be considered a key focal point on contract matters within the organization and must demonstrate the skill and ability to perform complex tasks. As most assignments are complex, the
position will require the candidate to work independently without appreciable direction.
Responsibilities:
+ • Administer, extend, negotiate and terminate standard and nonstandard contracts
+ • Provide advice to management of contractual rights and obligations, compile and analyze data, and maintain historical information as well as be self-motivated
+ • Have the ability to handle urgent situations from Northrop Grumman leadership and U.S. Government officials
+ • Participate in proposal preparation (review, analysis, interpretation & contractual advice on terms & conditions), contract negotiations, contract administration, review and approval of contractual documentation to protect the company and provide for proper contract acquisition in accordance with the terms and conditions of the contract
+ • Provide contractual advice in accordance with company policies and procedures, and interface with both internal and external customers to ensure proper contract execution
+ • Serve as an external spokesperson for the organization on major matters pertaining to its policies, plans, and objectives
+ • Ensures timely and compliant deliverables for all contracts assigned
The candidate hired will be based out of our San Diego office and will be required to work at Spectrum Center. **A hybrid work schedule (full time remote is not an option) may be considered after the first 120 days.**
**Basic Qualifications:**
+ Bachelor's Degree and at least 6 years relevant experience in U.S. Government contract administration and management or Masters Degree with 4 years relevant experience
+ Must be able to effectively work with the management of other organizations (program management, subcontracts, business management, and supply chain management), as well as representatives of Government agencies
+ Must have a demonstrated understanding of and experience with FAR and DFARS, especially with FAR Part 12 and FAR Part 15. Experience with various contract types such as Time & Material, Cost types, Firm Fixed Price, Other Transactions, etc.
+ Must have capable & effective proposal development skills, excellent negotiation skills, and excellent oral and written communication skills
+ Must possess excellent decision-making skills that support resolution of complex problems while minimizing risk to the organization
+ Ability to obtain a Secret level security clearance
**Preferred Qualifications:**
+ Experience in U.S. Government Department of Defense contract administration & management
+ Demonstrated ability to effectively communicate with and influence others
+ Ability to work independently and make independent decisions, ensuring high levels of collaboration with stakeholders and subject matter experts
+ Ability to brief internal and external customers
+ Able to handle multiple tasks effectively in a fast paced environment
+ Able to work as a team player, be proactive in identifying and resolving problems, have excellent organizational skills, and effective time management skills
+ Strong problem solving skills and the ability to be flexible and change directions when needed
**W hat We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $88,700 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10144876CaliforniaCAPrincipal Contract AdministratorNone647B1E98AE05490C9BD8C98618036B41http://northropgrumman-veterans.jobs/647B1E98AE05490C9BD8C98618036B4123IrvingNorthrop GrummanUnited StatesUSA2024-03-27 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payroll Execution, Banking & Garnishment Manager will have overall responsibility for managing the execution, banking and garnishment transactions. This position will be responsible for resolving batch payroll processing and employee garnishment issues to ensure accurate and timely payroll processing. This position will also ensure payroll reporting and payments are compliant with company policies and procedures.
This position will be located in Irving, Texas and does not offer a full-time telecommuting arrangement.
Responsibilities include, but are not limited to:
+ Manage execution, banking and garnishment recovery staff.
+ Auditing and Reconciling garnishments accounts.
+ Audit processes to ensure accuracy, compile data to analyze issues and make recommendations to ensure compliance.
+ Focus on improvement of processes for efficiencies and increase customer service level expectations.
+ Manage the team to ensure performance goals are met and make recommendations to enhance team productivity.
+ Establishes and maintains positive working relationships with staff, managers, internal and external customers.
+ Maintain current knowledge of garnishments and applicable state and federal wage and hour laws.
+ Recommend, develop and implement new and/or revised policies and procedures to ensure efficiency, accuracy and legal compliance.
**Basic Qualifications:**
+ Bachelor's degree in Accounting, Finance, Business or related field with at least 10 years' of relevant payroll experience
+ Must have proven analytical skills and ability to resolve complex payroll issues
+ Highly organized with the ability to mentor, coach and lead a team through change while maintaining day to day operations
+ Solid software skills including Word, Excel, PowerPoint, and Outlook
+ Payroll management experience.
**Preferred Qualifications:**
+ Certified Payroll Professional (CPP)
**\#LI-COR**
**Salary Range:** $108,900 - $163,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Irving, TXR10153881TexasTXManager Payroll 2--Irving TXNone64BB521485EF41A89390B033B023CC7Ahttp://northropgrumman-veterans.jobs/64BB521485EF41A89390B033B023CC7A23MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
The Northrop Grumman Aeronautics Systems (NGAS) is seeking **a Mission Software Engineer/ Principal Mission Software Engineer** to bolster the capabilities of our Core Mission System Software (CMSS) team. This group consists of well-accomplished professionals hailing from various backgrounds and expertise, is tasked with taking charge over software development geared towards sensor command as well as control management. The location for this opportunity is **Melbourne, Florida** and will be primarily based within our office spaces to support program and business needs. Operating on our 9/80 work schedule meaning you will get every Friday off. The position has NO remote or Hybrid work available.
We offer flexible work arrangements, great learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
The candidate chosen will develop, modify, and maintain customized or standardized Open Mission Systems applications using software engineering best practices and standards. They will develop this software implementing principles of the Agile software development life cycle. This will include requirements development, modeling and design, application development, unit testing, and requirements testing. The Principal Mission Software Engineer could also gain experience in disciplines like integration, formal system testing, release, installation, and maintenance.
*This position may be filled by either a Mission Software Engineer or a Principal Mission Software Engineer level based on the qualifications listed. **
**Basic Qualifications for Mission Software Engineer:**
+ Bachelor's Degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited University with 2 years' relevant experience in software engineering; OR Master's Degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited University.
+ Demonstrates understanding of basic Object Oriented (OO) programming principles in C++.
+ Can provide time and memory complexity of basic algorithms.
+ Ability to obtain and maintain a DoD Secret Clearance
+ Ability to obtain and maintain Special Program Access Clearance
**Basic Qualifications for Principal Mission Software Engineer:**
+ Bachelor's Degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited University with 5 years' experience in software engineering; OR Master's degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited University with 3 years' experience in software engineering; OR PhD degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited University.
+ Demonstrates understanding of basic Object Oriented (OO) programming principles in C++.
+ Can provide time and memory complexity of basic algorithms.
+ Ability to obtain and maintain a DoD Secret Clearance
+ Ability to obtain and maintain Special Program Access Clearance
**Preferred Qualifications:**
+ Bachelor's or Master's degree in Computer Engineering, Computer Science, or Electrical Engineering
+ Experience with embedded RTOS development
+ Experience with container software (Kubernetes, Podman, Docker, Helm)
+ Experience with CI/CD tools (GitLab, Jenkins, Bamboo) and practices (blue-green deployment)
+ Familiar with software development tools such as Git, CMake, Ant, and Visual Studio.
+ Experience working within an Agile software development environment
+ Knowledge of Linux systems and basic commands
+ Familiarity with software requirements tools such as DOORS
+ Familiarity with modeling tools, such as Cameo and Rhapsody
+ Experience developing software with Python and/or Java
+ Experience developing safety critical code
**Salary Range:** $75,300 - $94,100
**Salary Range 2:** $92,600 - $139,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10145183FloridaFLMission Software Engineer / Principal Misson Software EngineerNone6B7A41E46E9D4D6E8428EB55D18B2EDEhttp://northropgrumman-veterans.jobs/6B7A41E46E9D4D6E8428EB55D18B2EDE23LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The **Payload and Ground Systems** organization within the **Northrop Grumman Space Systems** pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
**Northrop Grumman Space Systems Payload and Ground Systems Division in Linthicum, MD** is seeking a senior **Radar Systems Engineer** to develop radar modes for space radar payloads, including SAR (Synthetic Aperture) and MTI (Moving Target Indicator) modes among other classified modes.
Early in the system lifecycle, systems engineers develop models and conduct analysis to support sensor requirements definition and use case validation. Progressing past analysis and design, Northrop Grumman Radar Systems Engineers also support the development of radar modes in digital simulation environments (in MATLAB® models of the radar system) through I&T (integration and test), initially on a "mini-Payload" or EDU (engineering development unit), and then onto I&T on the flight hardware, and later for new modes, refinements, performance improvements on operational on-orbit systems.
This position involves Modeling, Simulation and Analysis (MS&A) of advanced radar systems using MATLAB®. The position also includes analyzing data from the integration, test, and calibration of the payload flight hardware and the verification and validation (V&V) of simulation performance against test data. Candidates should be highly motivated algorithm developers who can work independently to develop tasking and/or processing algorithms, generate design documentation (algorithm description documents), and support the implementation of the algorithms in flight software and/or processing software by software engineers.
**Basic Qualifications:**
+ Bachelor's Degree in Electrical Engineering, Computer Engineering, Computer Science, Physics or Mathematics with 14 years of related experience,12 years with a Master's Degree, or 9 Years with PhD
+ Experience with modeling RF sensor systems in MATLAB®
+ A current/active TS/SCI clearance is required to be considered.
**Preferred Qualifications:**
+ Master's Degree including electives in radar signal processing
+ Experience in radar signal processing
+ Experience integrating and testing of modes/algorithms on RADAR systems
+ Greater programming experience/expertise in MATLAB®, C/C++, and Python
+ Experience with development and design of space payloads
+ Active TS/SCI clearance
**Salary Range:** $157,500 - $236,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10145276MarylandMDSpace Radar Staff Systems Engineer (Active TS/SCI Required)None80A2858D39E54BD08D82AD9B6868B0F6http://northropgrumman-veterans.jobs/80A2858D39E54BD08D82AD9B6868B0F623BaltimoreNorthrop GrummanUnited StatesUSA2024-03-27 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems Manufacturing Operations Test Engineering Team in **Baltimore, MD** seeks an **RF Test and Development Engineer or Principal** **RF Test and Development Engineer** .
This career opportunity is a great fit for self-motivated, proactive individuals who want to **assume ownership of the performance and delivery of Northrop Grumman's diverse suite of RF and digital product hardware** . Our Engineers work in a high exposure, fast paced, and exciting environment that involves "hands on" technical challenges, problem solving, and inter-department collaborations. If you thrive on solving problems and want different challenges each day, please read on!
We are seeking RF and digital knowledgeable individuals who are passionate about monitoring and supporting product technical performance and maintaining product delivery schedules. **The RF Test and Development Engineer will support the development, integration, and testing of production hardware.** Activities will range from hands-on testing and troubleshooting; to analysis of failure trends, root cause/ corrective actions; and attending program meetings to report yields, problems, and corrective actions.
**What You'll Get to Do** :
+ Design, develop, and implement testing methods and equipment
+ Troubleshoot and support RF and digital hardware
+ Support First Time Through Test Yield (FTTTY) and hardware deliveries
+ Analyze test data and identify early trends
+ Compile data and define changes required in testing equipment, testing procedures, manufacturing processes, or new testing requirements
+ Collaborate with design engineering to develop and implement hardware improvements and solutions
+ Support technicians through troubleshoot and training
+ Monitor product yields and aggressively work to maintain program yield targets
+ Support program meetings in developing root cause and corrective actions
+ Attend program meetings to report yield issues, yield detractor investigation finding, and corrective actions
+ Interface with manufacturing management, test technicians, production engineers, design engineers, system engineers, program management and program support teams
**What we can offer you:**
Northrop Grumman provides a comprehensive benefits package and a work environment that encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family. Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
The successful candidate will have a working knowledge of RF theory and concepts; and a working knowledge of digital circuit design. They will have analytical skills to review requirements, test plans, and test scenarios; and the ability to effectively communicate across teams. They should be self-directed, organized, team oriented and have previous experience with MS Office tools.
This position may be filled as either an RF Test and Development Engineer or a Principal RF Test and Development Engineer.
**Basic Qualifications for an RF Test and Development Engineer:**
+ Bachelor's degree in Electrical Engineering or related STEM field plus 2 years of relevant experience; or a Master's degree in Electrical Engineering or related STEM field plus 0 years of experience.
+ Ability to read and interpret specifications, schematics and block diagrams
+ Experience with RF hardware and software
+ Experience with RF test equipment, such as scopes, power meter, spectrum analyzer, signal generator
+ U.S. citizenship
+ The ability to obtain and maintain a Department of Defense (DoD) Secret level clearance
**Basic Qualifications for a Principal RF Test and Development Engineer:**
+ Bachelor's degree in Electrical Engineering or related STEM field plus 5 years of relevant experience; or a Master's degree in Electrical Engineering or related STEM field plus 3 years of relevant experience .
+ Ability to read and interpret specifications, schematics and block diagrams
+ Experience with RF hardware and software
+ Experience with RF test equipment, such as scopes, power meter, spectrum analyzer, signal generator
+ U.S. citizenship
+ The ability to obtain and maintain a Department of Defense (DoD) Secret level clearance
**Preferred Qualifications for both levels:**
+ Advanced degree in Engineering or related STEM discipline
+ Ability to work with minimal direction and guidance
+ Experience with FPGA
+ Experience with LabWindows CVI, C / C++ programming
+ TestStand programming
+ Proficiency with digital, analog, RF test equipment, RF theory and high speed digital.
+ Familiarity with differential digital interfaces like LVDS
+ PXI/PXIe and VME bus experience
+ Hands-on troubleshooting of electrical hardware
MANUMS
RFTBWI
**Salary Range:** $83,300 - $124,900
**Salary Range 2:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10146420MarylandMDRF Test and Development Engineer / Principal RF Test and Development EngineerNone918279DFBC08427F926B853719733433http://northropgrumman-veterans.jobs/918279DFBC08427F926B85371973343323Robins AFBNorthrop GrummanUnited StatesUSA2024-03-27 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identifies as veterans, and more than 1,600 are reservists. The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last **6 months of service** , for up to **180 days** . The Northrop Grumman Military Internship Program is open to all ranks and experience levels. SkillBridge participants are **not eligible for compensation** from Northrop Grumman, as they continue to receive military compensation and benefits as **Active-duty service members** .
Responsibilities for this internship position are:
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for Skillbridge . During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives , and Outcomes for the program.
Goals - Provide transitioning service members fellowship-style job skills training during the last portion (s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
Objectives - Service Members who complete the Intern program will be highly trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
Outcome - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
- Has served at least 180 days on active duty
- Is within 12 months of separation or retirement
- Will receive an honorable discharge
- Has taken any service TAPS/TGPS
- Has attended or participated in an ethics brief within the last 12 months
- Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
**We are seeking FAA A&P Certified Technicians.**
Are you ready to put your experience to work at Northrop Grumman? If so, Our Defense Systems Sector wants you to join our Team as a SCA Aircraft Mechanic II. This position is located at Robins AFB, Georgia.
****This position is a Service Contract Act position.**
**You will be responsible for the following:**
+ Troubleshooting
+ Repairing and maintaining all aircraft systems
+ Conducting diagnosis of malfunctions
**Duties include:**
Perform maintenance, disassembly, rework, repair, replacement, re-assembly or adjustment of all aircraft systems in accordance with technical specifications, engineering instructions, and FAA regulations. Maintain FAA required records, manuals and inspection forms.
**Specific duties include:**
+ Execute line-maintenance/flight operations support for BACN E-11A (Global Express and Global 6000) aircraft including all electrical & mechanical troubleshooting, fault isolation & repair, parts replacement, line repairable unit (LRU) replacement, calibration tasks, testing, engine repairs and/or replacements & proper documentation in aircraft forms (Air Force Form 781 series).
+ Conduct maintenance of aircraft on flight line utilizing with USAF ground maintenance procedures & in compliance with associated airworthiness approvals.
+ Conduct Tier 2 maintenance (in depth maintenance, repairs, etc.) & Tier 3 maintenance (modifications & depot level or major maintenance) at CONUS site(s).
+ Conduct progressive maintenance & inspections on aircraft at CONUS locations to minimize aircraft downtime for longer term maintenance requirements.
+ Service aircraft, perform end-of-runway, post flight, preflight, thru-flight, & phase inspections. Advise on problems maintaining, servicing, & inspecting aircraft & related aerospace equipment. Use technical data to diagnose & solve maintenance problems on aircraft systems.
+ Interpret & advise on maintenance procedures & policies to repair aircraft & related equipment. Troubleshoot & maintain aircraft structures, systems, components, & related equipment. Remove & install aircraft components conducting functional tests of repaired components & systems.
+ Adjust, align & rig aircraft systems.
+ Supervise & perform aircraft jacking, lifting & towing operations.
+ Inspect aircraft structures, systems, components & related systems.
+ Supervise & perform aircraft & component inspections Interpret inspection findings & determines adequacy of corrective actions.
+ Inspect & check components for clearances, tolerances, proper installation & operation.
+ Inspect & operate powered & non-powered aerospace ground equipment.
+ Inspect & identify aircraft corrosion for prevention & repair.
+ Review maintenance forms, aircraft records, automated maintenance data systems & historical reports to ensure complete documentation.
+ Inventory & maintain aircraft equipment.
+ Assist other functional team members with any associated aircraft maintenance actions to ensure mission success.
+ May deploy overseas to support OCONUS operations when required to backfill staffing needs or when USAF customer requirements dictate.
**Basic Qualifications:**
+ FAA Airframe and Powerplant Certification
+ High School diploma or equivalent and 2 years additional education and/or related experience
+ Secret Clearance is preferrable.
+ Ability to quickly obtain a US Passport.
+ Business or Regional Jet experience (Global Express, XRS, Global 6000, CRJ, G-5, G-4, Challenger, etc.)
+ Must be able and willing to travel as required by the program to include international travel.
+ Meets DoD SkillBridge qualifications as per DODI 1322.2
**Preferred Qualifications:**
+ Current US Passport
+ Connector repair, wire harness manufacture, and in-depth electronic and electrical troubleshooting experience. Loading of aircraft software. Adept at using electronic and electrical troubleshooting tools beyond the use of a simple meter.
+ Experienced in Altimeter/Transponder checks utilizing Pitot/Static and Transponder test equipment. RVSM Certified and understands FAA RVSM guidelines and aircraft RVSM certification.
+ Experienced in flight control and landing gear rigging and operational checks. Engine run qualified and APU run qualified.
+ Experience in moving and jacking aircraft. Operating ground support equipment. Experienced in the removal and replacement of engines and engine fan disks.
+ Experience in repairs of composite materials.
+ Rolls-Royce BR-710 engine run qualification; engine borescope training
+ Able to meet medical requirements for worldwide travel, which include a physical.
+ Compliance with US Gov't/Department of Defense medical and deployment requirements.
**Salary Range:** $30 - $32
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Robins Afb, GAR10145901GeorgiaGASCA Aircraft Mechanic 2 (SkillBridge)None921353B47A034379B215CF67991FB5A0http://northropgrumman-veterans.jobs/921353B47A034379B215CF67991FB5A023San DiegoNorthrop GrummanUnited StatesUSA2024-03-27 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Job Description
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
We are looking for you to join our team as **Principal or Senior Principal Program Planning Analyst/SCHEDULER** based out of San Diego, CA. This position may be staffed as a hybrid role from the San Diego, CA area. This position offers the 9/80 work schedule.
**What You'll Get to Do** **:**
The **Planner/Scheduler** will be hired directly into our Scheduling Center of Excellence and placed on programs within the Mission Systems (MS) Sector. The MS scheduling organization focuses on skill development and, most importantly, creates a pathway for professional development and progression. The Center of Excellence provides training within a standard, common tool suite that allows for speed, productivity and integration while facilitating compliance and focusing on program execution.
The **Planner/Scheduler** is responsible for coordinating with engineering and operations teams to decompose scope and develop the program's schedule baseline. This position will work within a team environment consisting of program management, technical, production, financial and other supporting staff. This is a career building role that provides exposure to contract management, production operations, risk and opportunity management and program management practices.
The ideal candidate will have strong teambuilding skills, exhibit strong in, and possess comprehensive verbal and written communication/presentation skills.
**Roles and Responsibilities include, but are not limited to, the following:**
+ Apply knowledge of product development planning to establish and control the program schedule baseline; coordinate with a multifunctional teams to update status for management decision making on complex development and production programs.
+ Coordinate with business management and project management staff to develop an integrated program measurement baseline to manage cost, schedule and technical performance of the program
+ Use project scheduling software to plan and gauge progress against the plan. Identifies performance variances to facilitate focus and intervention on critical areas with the technical (engineering and operations) leads. Works with the program team to develop courses of action and risk mitigation strategies to address performance issues.
+ Perform thorough project analysis through metrics reviews and predictive analysis to enhance cost, schedule and technical performance.
+ Perform Schedule risk analyses and works with the program manager to resolve risky schedules, critical path issues and network logic conflicts.
**This position may be filled as a Principal Program Planning Analyst/SCHEDULER or a Senior Principal Program Planning Analyst/SCHEDULER**
**Basic Qualifications for a Principal Program Planning Analyst/SCHEDULER**
+ Bachelor's degree with 6 years professional related experience -OR - a Master's degree with 4 years of professional related experience.
+ Will consider 10 years of applied experience in lieu of degree requirement.
+ 4 years of project scheduling experience using Microsoft Project, Oracle P6, Deltek Open Plan or other related scheduling tool.
+ Experience using MS Office Suite, specifically Excel, PowerPoint, and Word.
+ Willingness and ability to travel domestically 10% of the time.
+ The ability to obtain and maintain a DoD Secret clearance is required.
**Basic Qualifications for a Senior Principal Program Planning Analyst/SCHEDULER**
+ Bachelor's degree with 10 years' related professional experience -OR - a Master's degree with 8 years' related professional experience.
+ Will consider 14 years of applied experience in lieu of degree requirement.
+ 4 years of project scheduling experience using Microsoft Project, Oracle P6, Deltek Open Plan or other related scheduling tool.
+ Experience using MS Office Suite, specifically Excel, PowerPoint, and Word.
+ Willingness and ability to travel domestically 10% of the time.
+ Willingness and ability to travel domestically 10% of the time.
+ The ability to obtain and maintain a DoD Secret clearance is required.
**Preferred Qualifications:**
+ Bachelor's degree or higher in Business Management, Industrial Engineering, Finance, Operations Management, Mathematics, Computer Science or related field
+ Experience with Schedule Analytics and Schedule Risk Assessment tools.
+ Working knowledge of EVMS guidelines and processes.
+ Current, active Secret level security clearance.
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $97,500 - $146,300
**Salary Range 2:** $120,900 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.San Diego, CAR10146093CaliforniaCAPrincipal or Senior Principal Program Planning and Scheduling AnalystNone962009CA04734045AD12AA31ED2AA46Fhttp://northropgrumman-veterans.jobs/962009CA04734045AD12AA31ED2AA46F23HuntsvilleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems is searching for Software Engineers (multiple positions) to join the Command and Control, Communication and Computer Missile Defense (C4MD) Business Unit in support of our programs, in Huntsville, AL. **This position is capable of placing candidates anywhere within C4MD, MRPS, any programs like ABAD, IBCS, Wisla, SHORAD, and/or IRADs.**
The selected candidates' task encompasses the following: Designs, develops, documents, tests and debugs applications software and systems that contain logical and mathematical solutions. Conducts multidisciplinary research and collaborates with systems engineers and/or hardware engineers in the planning, design, development. Determines computer user needs; analyzes system capabilities to resolve problems on program intent, output requirements, input data acquisition, programming techniques and controls; prepares operating instructions; designs and develops compilers and assemblers, utility programs, and operating systems. Ensures software standards are met.
**This requisition may be filled at Principal or Sr Principal Software Engineer level:**
+ Principal SW Engineer - Bachelor's degree in STEM (and 5 years of relevant experience; or 3 Years with Masters; 0 Years with PhD) or additional years of experience in lieu of degree may be considered
+ Sr Principal SW Engineer - Bachelor's degree in STEM (and 9 years of relevant experience, or Masters and 5 years, or PhD and 3 years) or additional years of experience in lieu of degree may be considered
**Basic Qualifications:**
+ Active Secret Security Clearance is required
+ Experience with JAVA (work experience)
+ Familiarity with Python
+ Experience with collaborating in or using source code control environment (such as GitHub)
+ Understanding of all phases of software development, and practical experience in an Agile / Dev*Ops environment
**For all positions: Following are good to have, but are not required:**
+ Security+ certification
+ Experience testing SW for performance and functionality based on requirement specification and ability to identify and document exact sequence of activities desired
+ Experience with automated testing
+ Experience developing Eggplant software or Squish/ Froglogic.
+ OpenGL and Front end experience
+ OSGi services experience
+ Experience in Air & Missile Defense Command and Control programs such as PATRIOT, SENTINEL, C2BMC or THAAD or any sensor/weapon system, Link 16 experience
+ Python
+ R (Language)
+ Julia (Language)
+ SQL
+ NoSQL
+ MongoDB
+ Elasticsearch
+ Web Scraping
+ REST API
+ Git / Version control
+ AI/ML
+ Tensorflow
+ PyTorch
+ Numerical Computing / Optimization
+ Scrum Master experience
+ Dev Ops experience
\#LI-MA1 #LI-CM2
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Huntsville, ALR10146880AlabamaALPrincipal/Sr Principal JAVA Software Engineer (AHT)NoneC1F28047B2E544EFAFB2878DC098277Dhttp://northropgrumman-veterans.jobs/C1F28047B2E544EFAFB2878DC098277D23PlymouthNorthrop GrummanUnited StatesUSA2024-03-27 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Armament Systems Business Unit is looking for an **Administrative Assistant 4** to support the Business Management, IT, ITC, and Security Functions out of the Plymouth, MN facility.
**Position Summary:** The Business Management team is seeking a high energy, dependable Administrative Assistant to join our team. This position will report to the Business Management Director and will provide support throughout the leaders in the Director's organization as required. As the selected candidate, you will support the entire Business Management Organization, all of its managers, some support members as well as collaborate with many functions with a variety of duties including arranging meetings, setting up and maintaining files, arranging and setting up food orders, support office moves and associated equipment orders, arranging travel for personnel, processing associated expense reports, coordinating on-boarding of new personnel to the group, and supporting special program and group events. You will support coordination and integration of presentation material from team members and will have the opportunity to help execution of customer and team meetings. We are seeking an independent thinker with a track record of excelling in a fast-paced, multi-faceted, and collaborative team-based environment. The position requires excellent communication skills, an ability to multi-task and organize/prioritize tasks independently, strong time management skills, strong office management and interpersonal skills, self-motivation, and the ability to collaborate in a team environment. The selected candidate should have the ability to act with discretion and good judgment when handling or disseminating sensitive, confidential, or proprietary information.
**Principal Duties:**
+ Arrange meetings and Team calls
+ Maintain, synchronize, and deconflict calendars
+ Arrange travel and prepare associated expense reports
+ Coordinate on-boarding of personnel
+ Answer questions and solve problems related to office operations and established policies and procedures
+ Coordinate office workspace planning, ordering office equipment with the facilities and IT organizations
+ Interface with other Armament Systems organization leaders
+ Support coordination and integration of meeting materials
+ Support and collaborate with other Administrative Assistants as needed
+ Support special event planning and catering, such as meal planning, ordering food from local cafeteria or caterers, delivering food, clean up and expensing
+ Process check requests / wire transfers
+ Support coordination of Business Unit with USG - DCAA/DCMA
+ Follow the company standards
+ Meet the needs of the internal/external customers with respect and trust
+ May require some overtime on an as needed basis
**Basic Qualification:**
+ High School Diploma/GED plus 6 years of additional education and/or experience
+ U.S. citizenship and able to obtain a Secret clearance
+ Proficiency in Microsoft Office Suite including Word, PowerPoint, Outlook, Excel, Adobe Acrobat, OneNote and Teams
+ Proficiency in editing written material for correct spelling and grammar
+ Experienced with organizing/maintaining/deconflicting schedules, calendars, meetings, and travel arrangements
+ Prior experience working as an Administrative Assistant or performing equivalent responsibilities
+ Must be able to work onsite in Plymouth, MN
**Preferred Qualifications:**
+ Associates degree
+ Experience in Concur/ITRIP
**Salary Range:** $60,900 - $101,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Plymouth, MNR10154409MinnesotaMNAdministrative Assistant 4NoneD4484ADD3D28401FBC379A0B170FEC8Dhttp://northropgrumman-veterans.jobs/D4484ADD3D28401FBC379A0B170FEC8D23AuroraNorthrop GrummanUnited StatesUSA2024-03-27 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
Northrop Grumman Space Systems Sector is looking for you to join our team as a Principal Software Engineer based out of Aurora, CO.
**What You'll get to Do:** We are looking for a Software Engineer experienced in Java (as your primary and most skilled in Object-Orientated programming language) who wants to work on an agile development team using modern development practices tackling both new development and operational support of systems that advance National Security. Our Aurora campus has numerous programs in all phases on the development and operational lifecycle, from smaller single scrum team efforts to larger multi-team efforts, some that leverage remote teams from other Northrop Grumman sites. Many of our programs are using a micro-service event driven architecture using Docker Containers, Kubernetes, Helm charts and AWS services. Programs also in Operations have maintenance and sustainment engineering needs on existing deployed baselines which may require troubleshooting and programming in C++/C. Many programs have a mixture of other languages in use such as Python or JavaScript. Needs exist for front-end developers, back-end developers, and full-stack developers. We are flexible and career growth focused permitting staff to either deepen skills on one program, or seek other internal opportunities over time to broaden skills, experiences, and mission exposure.
**About our organization:** As a Principal Software Engineer, you'll be joining our growing Aurora Software and Digital Engineering department which has 200+ Software Engineer, DevOps Engineer, Systems Administrator, Database Administrator, and Network Engineer peers from entry-level to the most senior chief engineers and architects. Principal Software Engineers frequently get into informal (example: Subject Matter Expert) and formal technical leadership roles within their programs, as applicable and per interest. We have plenty of opportunity for career advancement into higher level technical roles or leadership positions. Our Software Department is part of a larger organization that includes Systems Engineering, Integration, and Test staff as well as a Hardware Engineering unit. This larger organization influences cross-program collaboration, professional development and training, as well as engagement and inclusion activities such as lunch-n-learns, campus events, and leadership mixers.
**Basic Qualifications:**
+ Bachelor's degree in Science with 5+ years of software development experience; 3+ years with a Master's; 0 years with a PhD; or 4 additional years of experience in lieu of a degree.
+ Experience as a front-end, back-end, or full-stack developer
+ Experience developing on team s using Agile methodology, process, and tools
+ Experience designing, developing, and troubleshooting in Java
+ Experience using object oriented design
+ Experience using version control soft ware
+ **Current Top Secret/SCI security clearance required to apply**
+ Candidate must be able to obtain a Counterintelligence Polygraph (CI-Poly)
**Offers are contingent upon receiving the Top Secret (TS) / SCI clearance**
**Preferred Qualifications:**
It is preferred that a given candidate has some of the preferred qualification below. No expectation of all.
+ Experience designing, developing, and troubleshooting in C++, C, Python, and/or JavaScript
+ Experience designing, developing, and troubleshooting in virtualized environments (VMs, AWS Cloud Instances)
+ Experience with software development on Red Hat Enterprise Linux
+ Experience with Dockerization and Container Management (Dockers and Kubernetes)
+ Experience with Atlassian tools (Jira, Confluence, Bitbucket)
+ Experience with AWS Services
+ Experience with software system integration, testing, and deployment, including but not limited to automation
+ Experience with DevOps and/or Site Reliability Engineering
**Salary Range:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Aurora, COR10135204ColoradoCOPrincipal Engineer Software (Active Top Secret Clearance REQUIRED)NoneDF5A55AF40404FD1B7450C9D68CF70E6http://northropgrumman-veterans.jobs/DF5A55AF40404FD1B7450C9D68CF70E623BaltimoreNorthrop GrummanUnited StatesUSA2024-03-27 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems Manufacturing Operations Test Engineering Team in **Baltimore, MD** seeks an **RF Test and Development Engineer or Principal** **RF Test and Development Engineer** .
This career opportunity is a great fit for self-motivated, proactive individuals who want to **assume ownership of the performance and delivery of Northrop Grumman's diverse suite of RF and digital product hardware** . Our Engineers work in a high exposure, fast paced, and exciting environment that involves "hands on" technical challenges, problem solving, and inter-department collaborations. If you thrive on solving problems and want different challenges each day, please read on!
We are seeking RF and digital knowledgeable individuals who are passionate about monitoring and supporting product technical performance, and maintaining product delivery schedules. **The RF Test and Development Engineer will support the development, integration, and testing of production hardware.** Activities will range from hands-on testing and troubleshooting; to analysis of failure trends, root cause/ corrective actions; and attending program meetings to report yields, problems, and corrective actions.
**What You'll Get to Do** :
+ Design, develop, and implement testing methods and equipment
+ Troubleshoot and support RF and digital hardware
+ Support First Time Through Test Yield (FTTTY) and hardware deliveries
+ Analyze test data and identify early trends
+ Compile data and define changes required in testing equipment, testing procedures, manufacturing processes, or new testing requirements
+ Collaborate with design engineering to develop and implement hardware improvements and solutions
+ Support technicians through troubleshoot and training
+ Monitor product yields and aggressively work to maintain program yield targets
+ Support program meetings in developing root cause and corrective actions
+ Attend program meetings to report yield issues, yield detractor investigation finding, and corrective actions
+ Interface with manufacturing management, test technicians, production engineers, design engineers, system engineers, program management and program support teams
The successful candidate will have a working knowledge of RF theory and concepts; and a working knowledge of digital circuit design. They will have analytical skills to review requirements, test plans, and test scenarios; and the ability to effectively communicate across teams. They should be self-directed, organized, team oriented and have previous experience with MS Office tools. A DoD Secret level security clearance is required for this position.
**What we can offer you:**
Northrop Grumman provides a comprehensive benefits package and a work environment that encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family. Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
This position may be filled as either an RF Test and Development Engineer or a Principal RF Test and Development Engineer.
**Basic Qualifications for an RF Test and Development Engineer:**
+ Bachelor's degree in Electrical Engineering or related STEM field plus 2 years of relevant experience; or a Master's degree in Electrical Engineering or related STEM field plus 0 years of experience.
+ Ability to read and interpret specifications, schematics and block diagrams
+ Experience with RF hardware and software
+ Experience with RF test equipment such as oscilloscope, power meter, spectrum analyzer, signal generator
+ Current, active Department of Defense (DoD) Secret level clearance
+ U.S. citizenship
**Basic Qualifications for a Principal RF Test and Development Engineer:**
+ Bachelor's degree in Electrical Engineering or related STEM field plus 5 years of relevant experience; or a Master's degree in Electrical Engineering or related STEM field plus 3 years of relevant experience .
+ Ability to read and interpret specifications, schematics and block diagrams
+ Experience with RF hardware and software
+ Experience with RF test equipment such as oscilloscope, power meter, spectrum analyzer, signal generator
+ Current, active Department of Defense (DoD) Secret level clearance
+ U.S. citizenship
**Preferred Qualifications for both levels:**
+ Advanced degree in Engineering or related STEM discipline
+ Ability to work with minimal direction and guidance
+ Experience with FPGA
+ Experience with LabWindows CVI, C / C++ programming
+ TestStand programming
+ Proficiency with digital, analog, RF test equipment, RF theory and high speed digital.
+ Familiarity with differential digital interfaces like LVDS
+ PXI/PXIe and VME bus experience
+ Hands-on troubleshooting of electrical hardware
MANUMS
RFTBWI
**Salary Range:** $83,300 - $124,900
**Salary Range 2:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10146416MarylandMDRF Test and Development Engineer / Principal RF Test and Development Engineer (Secret clearance)NoneE68B587B0435407D9D630CB7F199F2C1http://northropgrumman-veterans.jobs/E68B587B0435407D9D630CB7F199F2C123BaltimoreNorthrop GrummanUnited StatesUSA2024-03-27 06:15:37At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman's Missions System Sector (NGMS)** is looking for a **Manager, Programs Level 3** , to support the Advanced Communication Apertures Operating Unit (OU) in **Baltimore, MD** . The Advanced Communication Apertures OU is a growing OU in the Networked Information Solutions (NIS) Division with several new business opportunities in High Band Multi Function Aperture cutting-edge technology programs spanning development to production, while operating in a challenging and fast-paced environment to bring needed capability to the War Fighter.
**What You'll Get to Do:**
The primary objective will be the delivery of all contractual requirements on cost and on schedule, while achieving all technical requirements and creating customer intimacy to support value creation strategies and actions. The individual will lead a cross-functional organization aligned to common program performance goals.
Program Managers are responsible for leading all phases of the program life cycle from inception (proposal development) through startup, execution, and completion (contract closeout). Primary responsibilities are the management of cost, schedule, and technical performance of company programs or subsystems and include, but are not limited to:
+ Cultivating customer relationships and intimacy to develop further opportunities within the customer community.
+ Developing new business opportunities through long term strategic planning, capture planning, and development of proposals and business plans.
+ Establishing a program organization that effectively addresses customer requirements and incorporates the necessary internal and external sub-organizations.
+ Leading and directing cross-functional Integrated Program Teams (IPT) to meet program cost, schedule and technical performance objectives.
+ Measuring and reporting program performance.
+ Delivering presentations to customers, executive management and other program stakeholders.
+ Participating in the negotiation of contracts, contract changes, specifications, operating budgets, schedule milestones, and key terms and conditions.
+ Establishing design concepts, criteria, and engineering efforts for product research, development, integration and test.
+ Creation, review and finalization of the program Statement of Work.
+ Identification, distribution, tracking, and completion of program requirements.
+ Establishment and management of the program and subordinate baselines.
+ Development and adherence to budget baselines utilizing Earned Value Management (EVM) or similar cost & schedule control methodologies and tools.
+ Identifying, allocating and managing program resources, including workforce planning.
+ Managing Government/customer supplied property or information (GFE, CFE, etc.)
+ Managing suppliers to meet program objectives.
+ Adherence to all internal processes, policies, and applicable industry standards.
+ Ensuring program team understands and adheres to contract scope, and manages change through control board activities.
+ Development and adherence to master plans and schedules.
+ Conducting thorough risk & opportunity management practices including identification, mitigation and realization.
+ Functional responsibility of direct reports.
**Basic Qualifications:**
+ Bachelor's of Science Degree in Engineering and 9 years', Master's Degree and 7 years', or a PhD and 4 years of experience supporting U.S. Government contracts and customers and/or program management in other industries.
+ Experience leading the performance of contracts greater than $10M annually and proven performance of tasks on schedule, at cost and achieving all requirements as either project lead, integrated program team or cost account manager.
+ Experience working in the Program Management Communications or Aerospace market.
+ Must possess strong organizational and interpersonal skills to communicate effectively verbally and in writing with all levels of management and individual contributors.
+ Ability to travel domestically as required.
+ Strong personal verbal and written communications skills.
+ U.S. citizenship.
+ Active US DoD Secret Clearance (adjudicated within the last 5 years) and the ability to qualify for access to Special Access Programs (SAPs) prior to starting.
**Preferred Qualifications:**
+ Active US DoD Top Secret clearance and the ability to qualify for access to Special Access Programs (SAPs).
+ Prior experience leading sensor system, technology, hardware/software development or production programs, operations management, knowledge of RF system products, capabilities and enabling technologies.
+ Bachelor's degree in Engineering with ten year's experience supporting Department of Defense (DoD) and/or Government contracts.
+ Prior experience with execution of small to large, multi-year contracts (CPIF, FPIF, FFP, IRAD, and/or CRAD programs).
+ Prior experience with Earned Value Management (EVM) and MS Project.
+ Familiarity with Federal Acquisition Regulations (FAR) and Defense Federal Acquisition Regulations (DFAR).
+ Sr Proposal Management with the ability to oversee and facilitate the proposal process.
+ Ability to identify, select and manage subcontract and supply chain elements of program management.
**Salary Range:** $172,500 - $258,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10154229MarylandMDManager Programs 3 - Advanced Communication Apertures OUNone4C4A8834DA0C451BAF44C1A446270F59http://northropgrumman-veterans.jobs/4C4A8834DA0C451BAF44C1A446270F5923IukaNorthrop GrummanUnited StatesUSA2024-03-27 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Develop and implement program quality plans, programs, and procedures using statistical quality control statistics, lean manufacturing concepts, and six-sigma tools and analyses. Ensures that performance and quality products conform to established company and regulatory standards. Reviews, analyzes and reports on quality discrepancies related to assembly, process, mechanical, electrical and electro-mechanical systems. Investigates problems and develops disposition and corrective actions for recurring discrepancies. Interfaces with manufacturing, engineering, customer, vendor and subcontractor representatives to ensure requirements are met. Recommends corrective actions, dispositions and modifications.
**General responsibilities include:**
Monitoring for unsafe practices, support inspection training, collecting / compiling documents for FAIR's, Key Characteristic submittals, FOD related activities, and other tasks as assigned by management. Professionally communicate and interact with Customers, Government representatives, Manufacturing, and Mission Assurance employees Learn and understand the various software programs used in the facility. Support internal, Customer, and government/agency audits meetings, and off-site audit of suppliers. Contribute to prevention activities to include review of FAIR's/ inspection plans, change notices, support Process Owner Reviews, Key Characteristic reviews, aid in FOD walks, and others as directed by Customer or management.
**Basic Qualifications:**
**Associate Quality Analyst:**
+ Bachelor's Degree and 0 years of experience. High School diploma or equivalent and 4 years of relatable aerospace, composite, quality and/or AS9100/DCMA surveillance and inspection/audit will be used in lieu of Bachelor's Degree.
+ Ability to obtain and maintain DoD Secret Clearance and Special Program Access
+ Thorough understanding of data collection and analysis; supports the program, Operations, Engineering, and Quality teams with data analytics for improvement processes
+ Initiate, facilitate, and support RCCA activities to improve the quality of part and process
+ Prepare documentation of the results of RCCA activities for Quality Engineering review, to include gathering and charting data as well as presenting RCCA results
+ Understanding of the quality requirements listed in AS9100 and DCMA
**Quality Analyst:**
+ Bachelor's Degree and 3 years or a Master's Degree and 1 year of relatable aerospace, composite, quality and/or AS9100/DCMA surveillance and inspection/audit . High School diploma or equivalent and 7 years of relatable aerospace, composite, quality and/or AS9100/DCMA surveillance and inspection/audit will be used in lieu of Bachelor's Degree.
+ Ability to obtain and maintain DoD Secret Clearance and Special Program Access
+ Thorough understanding of data collection and analysis; supports the program, Operations, Engineering, and Quality teams with data analytics for improvement processes
+ Maintain and utilize QCMR/ PR authority with documenting, submitting, and coordinating MRB activities, including customer submissions, MBR dispositions, and scrap authorizations
+ Oversee progression of Nonconformances from initial write up to final disposition to ensure they are closed in a timely and effective manner
+ Initiate, facilitate, and support RCCA activities to improve the quality of part and process
+ Prepare documentation of the results of RCCA activities for Quality Engineering review, to include gathering and charting data as well as presenting RCCA results
+ Support Rapid Response Teams to address escalated quality concerns
+ Other responsibilities include supporting management, inspectors, IPT's, Supplier Quality which may consist of training new hires, attending recurring
+ Complete and compile First Article Inspection required documents for Quality Engineering review
+ Support internal, Customer, and government/agency audits meetings, and off-site audit of suppliers.
+ Understanding of the quality requirements listed in AS9100 and DCMA
**Preferred Qualifications:**
+ Experience with composites or manufacturing environment
+ Active DoD Secret Clearance or higher
+ 2+ years of audit/surveillance experience
+ 2+ years of quality evaluation processes and leading, participating and facilitating RCCA, FAI generation, non-conformance tag creation/submission and ...
+ environment
+ 2+ years building PowerPoint briefings, providing status updates for manufacturing builds and metrics
+ 2+ years generating, monitoring and reporting operations and manufacturing metrics
**Salary Range:** $48,700 - $73,100
**Salary Range 2:** $59,000 - $88,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Iuka, MSR10154158MississippiMSAssociate Quality Analyst/ Quality AnalystNone0607AD70DCFF48EEA16DAB5E0C921F67http://northropgrumman-veterans.jobs/0607AD70DCFF48EEA16DAB5E0C921F6723CheltenhamNorthrop GrummanUnited KingdomGBR2024-03-27 06:15:36**Your Opportunity to Define Possible. Our Opportunity to Deliver the Nation's Security. Together.**
**Salary:** £59,200 - £80,000
**Role Clearance Type:** You must be able to gain and maintain the highest level of UK Government clearance
**Location:** Cheltenham House, Cheltenham, United Kingdom
**Travel:** Some UK travel required to attend team and customer meetings.
**About Your Opportunity:**
A key member of the Geospatial Engineering team, with a specific focus on Geographic Information Systems (GIS) integration, to support the specification, development and delivery of large complex solutions. Leading work as part of a DevSecOps team to provide 3rd line support and enhancements for a number of GIS Software Services, as well as providing support and maintenance for industry leading COTS products within NG.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible and hybrid working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme -** exceptional performance is recognized through our annual incentive programme which is awarded to top performers who excel
+ **Career Development -** opportunity for ongoing professional development and career growth opportunities
**Your Responsibilities: Accountabilities / Responsibilities**
+ Act as a Geospatial SME, lead work as part of an Agile team.
+ Build rapport and credibility with technical and business stakeholders.
+ Ensure delivery in accordance with company standards of conduct.
+ Provide governance through the creation of technical documentation.
+ Support and work alongside others within the project team as part to ensure timely delivery and solution quality.
**Your Experience - Technical Skills / Qualifications / Experience**
+ Proven experience in designing and delivering geospatial solutions, following agreed standards and tools.
+ In-depth knowledge of Geospatial concepts, providing subject matter expertise within a team or project.
+ In-depth experience of deploying and supporting enterprise GIS Systems, preferably Esri ArcGIS Enterprise.
+ Understanding of Data Management principles to ensure best use of organisation and visualisation of mapping services.
+ Experience of using cloud infrastructure within AWS - Automated deployments (IaaC, Serverless)
+ Desirable - Knowledge and application of one of the following programming languages (Python, JavaScript, Java)
**Quote:**
"Through delivering operational Geospatial expertise and capabilities into the hands of analysts, we are able to influence and shape the direction of future security missions"
**Dan - Lead Geospatial Engineer**
**Your Future Team:**
+ Highly skilled with a huge range of process and technical knowledge
+ Diverse team from a variety of technical backgrounds
+ Motivated about delivering quality systems to our customers
+ Passionate about solving the UKs most pressing technical challenges
+ Collaborative both within the Geospatial Engineering team as well as across all the engineering functions and programmes
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And w ithin a respectful and inspirational environment, we value what you say and do.
**How to Apply:**
**Interested in our opportunity?**
**Yes** - then simply submit your application online. Your application will be reviewed by one of our expert recruiters who'll then respond advising you of the outcome and next steps for successful candidates.
**Possibly, I'd like to find out more** - then connect direct with talentenquiries@uk.ngc.com , where one of our recruitment business partners will be happy to support you with any enquires.
Background checks and potentially security clearance form part of the recruitment process, our team will inform you of the procedures when required.
**Northrop Grumman UK:**
Work with a global brand that makes a real contribution to our nation's security and future. At Northrop Grumman UK, the brightest minds come together to push the boundaries and Define Possible. As leaders in the digital transformation of Aerospace, Defence and Intelligence we are providing ground-breaking outcomes for our customers.
**UK Cyber & Intelligence Business** **:**
Our UK Cyber & Intelligence business combines modern software development approaches with a rich heritage and experience in the Defence and security sectors. Our customers have complex and sensitive data and information requirements requiring a mission partner who quickly understands the context, delivering and sustaining a portfolio of challenging technology projects at scale and pace, supporting them through an ambitious digital transformation programme.
\#LI-CC1
\#LI-Hybrid
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.Cheltenham, GBRR10138603Lead Geospatial EngineerNone11F57146648048FEA87FC2CD043A24FDhttp://northropgrumman-veterans.jobs/11F57146648048FEA87FC2CD043A24FD23Rocket CenterNorthrop GrummanUnited StatesUSA2024-03-27 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Education/Experience:**
+ High school diploma, or equivalent,
+ A minimum combination of (5) five years' experience in operation and related education of manual and/or CNC controlled machining equipment.
**Duties:** Could include, but may not be limited to, the following:
+ Running production parts on Manual and CNC machining equipment
+ Collection and recording of SPC characteristics of manufactured parts on digital and manual measuring devices
+ Work with Supervision, Engineering and/or Mission Assurance personnel to improve safety, quality, and/or cost of manufacturing processes
+ Train other machinists and operators to safely, accurately and proficiently manufacture parts using manual and CNC equipment.
+ Serve as resource for Engineering, Supervision, Mission Assurance and other machinists as required
**Responsibilities** : Could include, but may not be limited to, the following:
+ Mount tooling according to the tool sheet or the program
+ Indicate and align all tools
+ Touch off tools on X,Z, and Y axis
+ Produce first part and submit to inspection
+ Make changes based on inspection results in order to get first piece acceptance
+ Assure that all gages and necessary documentation are in the work area
+ Note all tool and insert changes
+ Basic knowledge of how to operate and navigate a computer.
+ Operate any process in accordance with the procedures and set up sheets
+ Support Research & Development efforts by collaborating with respective engineers
**Technology Knowledge:** Must have thorough understanding and be able to demonstrate proficiency in the following:
+ Shop mathematics
+ Blue-print reading and understanding
+ Ability to read and take measurements using digital and manual inspection equipment
**Business Process Knowledge:**
+ Support management strategies and plans
+ Promote safety and health
+ Understand the customer needs and expectations
+ Perform to the highest level of quality
+ Communicate effectively
**Salary Range:** $32 - $32
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Rocket Center, WVR10144953West VirginiaWVHigh Rate MachinistNone1506B2BD7A604FB18460E544B24C99D5http://northropgrumman-veterans.jobs/1506B2BD7A604FB18460E544B24C99D523OxnardNorthrop GrummanUnited StatesUSA2024-03-27 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems and technology that impact people's lives around the world today, and for generations to come. Our work preserves freedom and democracy, and advances human discovery and our understanding of the universe. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have a lot of fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they are making history.
Northrop Grumman's Space sector is looking for a Manager/Director, Programs Level 3 to support the Deployables organization/business unit.
The primary objective will be the delivery of all contractual requirements on cost and on schedule, while achieving all technical requirements and creating customer intimacy to support value creation strategies and actions. The individual will lead a cross-functional organization aligned to common program performance goals.
Program Managers are responsible for leading all phases of the program life cycle from inception (proposal development) through startup, execution, and completion (contract closeout). Primary responsibilities are the management of cost, schedule, and technical performance of company programs or subsystems and include, but are not limited to:
+ Cultivating customer relationships and intimacy to develop further opportunities within the customer community
+ Developing new business opportunities through long term strategic planning, capture planning, and development of proposals and business plans
+ Establishing a program organization that effectively addresses customer requirements and incorporates the necessary internal and external sub-organizations
+ Leading and directing cross-functional Integrated Program Teams (IPT) to meet program cost, schedule and technical performance objectives
+ Measuring and reporting program performance
+ Delivering presentations to customers, executive management and other program stakeholders
+ Participating in the negotiation of contracts, contract changes, specifications, operating budgets, schedule milestones, and key terms and conditions.
+ Establishing design concepts, criteria, and engineering efforts for product research, development, integration and test.
+ Creation, review and finalization of the program Statement of Work
+ Identification, distribution, tracking, and completion of program requirements
+ Establishment and management of the program and subordinate baselines
+ Development and adherence to budget baselines utilizing Earned Value Management (EVM) or similar cost & schedule control methodologies and tools
+ Identifying, allocating and managing program resources, including workforce planning
+ Managing Government/customer supplied property or information (GFE, CFE, etc.)
+ Managing suppliers to meet program objectives.
+ Adherence to all internal processes, policies, and applicable industry standards
+ Ensuring program team understands and adheres to contract scope, and manages change through control board activities
+ Development and adherence to master plans and schedules
+ Conducting thorough risk & opportunity management practices including identification, mitigation and realization
**Basic Qualifications** **:**
+ Bachelor's Degree and 15 years, or Master's and 13 years' experience supporting U.S. Government contracts and customers and/or project management in other industries
+ Ability to obtain a DoD TS/SCI clearance.
+ Excellent and relevant Engineering or Project Management experience may be considered in lieu of education and years' experience requirements
+ Strong problem-solving and organization skills in both independent and team environments -
+ Experience leading the performance of tasks on schedule, at cost and achieving all requirements as either project lead, integrated program team or cost account manager.
+ Demonstrated success leading teams/and or organizations to achieve a common goal
+ Track record of maintaining excellent internal and external customer relationships
+ Outstanding written and verbal communication skills
+ Ability to adjust communication to audience (from manufacturing technician up through customer and executive management)
**Preferred Qualifications:**
+ Security clearance preferred (TS / SCI) but not mandatory.
+ Experience in both Engineering & Project Management r IPT roles.
+ Manufacturing or Mechanical Engineering experience.
+ Proposal Management and/or Business Development experience.
+ Experience leading small or large teams.
+ **Business Acumen:** The ability to apply knowledge, insights and understanding of business and financial concepts, tools and processes to the benefit of program decisions, actions, and performance.
+ **Capture Management:** Insight into customer technical and business (financial, political, cultural) requirements and the ability to shape customer expectations with a solution that satisfies their needs and is advantageous to the company.
+ **Communications:** The ability to manage communications with stakeholders through organized processes to ensure that program information is defined, collected, shared, understood, stored and retrieved in a manner that effectively meets program and stakeholder needs
+ **Issue & Problem Resolution:** The ability to identify and address program impacts through a systematic, proactive, approach to issue and problem resolution that identifies, communicates, monitors, and promptly resolves conflicts across all levels of the program.
+ **International Program Management:** The ability to effectively manage both cultural differences and ways of doing business in countries other than the United States; while ensuring that items, commodities and technologies entering or leaving the United States do so within the proper US legal and regulatory framework.
+ **Logistics:** The ability to manage the maintenance and support of delivered products including spares availability; product reliability, maintainability, and testability; support equipment; modeling and simulation; training systems; prognostics and health management; field and fleet support; and program support management.
+ **Planning, Scheduling & Earned Value:** The ability to initiate, develop, integrate, execute, direct and control program plans and cost and schedule baselines that meet both program contractual requirements and stakeholder expectations.
+ **Program Growth:** The ability to recognize and respond to customer needs in order to develop additional sales that support organizational objectives.
+ **Program Integration:** The ability to identify, orchestrate, coordinate and controls the management activities and processes that integrate program interdependencies throughout their life cycle to achieve successful program completion.
+ **Proposal Management:** The ability to define proposal strategy, lead proposal teams, analyze and shape RFPs, produce and deliver winning proposals, effectively track and incorporate Review Team comments and findings, and manage post-proposal submittals (orals, finding responses).
+ **Quality:** The ability to plan and execute a project quality management system to satisfy quality requirements and create a project value system which emphasizes prevention over inspection and continuous process improvement
+ **Requirements:** The ability to define, document, analyze, trace, prioritize, and confirm requirements throughout the entire program life cycle.
+ **Resources:** The ability to plan for, identify, estimate, cost, acquire, schedule, and retire resources needed to satisfy program requirements within organizational constraints.
+ **Risk & Opportunity:** The ability to address program uncertainty through an organized and analytical forward looking approach that identifies risks and opportunities, determines appropriate handling plans, and manages, controls, and communicates risks and opportunities throughout the lifecycle of the program.
+ **Scope, Configuration & Change:** The ability to effectively plan, define, establish, communicate, monitor, and control scope to ensure that all of the work required and only the work required is performed.
+ **Supplier & Subcontractor:** The ability to identify, select, direct and manage subcontract and supply chain elements of the program in a manner that supports program goals and company strategy.
+ **Technical Performance:** The ability to establish and apply a technical performance baseline to monitor and compare key program technical performance measures, assess program and product status, and take appropriate action to maintain conformance with the baseline.
+ **Customer intimacy:** Consistently makes time to seek and incorporate input from customers and understand their expectations. Demonstrates commitment and energy to meet customer needs. Builds strong relationships with strategic partners and key suppliers. Guides program team and support functions on how to take action to satisfy customer expectations.
**Salary Range:** $180,600 - $271,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Oxnard, CAR10138552CaliforniaCAManager Programs 3None2355A52C741E4A5F9859726C47D1EBFBhttp://northropgrumman-veterans.jobs/2355A52C741E4A5F9859726C47D1EBFB23ChandlerNorthrop GrummanUnited StatesUSA2024-03-27 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Space Sector** is searching for a **Manager of Programs, M3,** to join the **Common Hardware Program Engineering Management team** within the **Launch Vehicles** business unit located in **Chandler, AZ.**
The Common Hardware (CHW) Chief Engineer (CE) leads the development and sustainment of a variety of pneumatic, propulsion, ordnance, and electronic devices. The CE leads a multi-disciplinary team to develop new hardware based on strategic need, support development and qualification testing, support program use of CHW products, and sustain production of CHW items. The ideal candidate will have broad technical knowledge regarding sub-systems and components produced by CHW. Excellent verbal and written communication skills and the demonstrated ability to lead multidisciplinary teams in resolving complex problems are essential.
**Role Description & Responsibilities:**
This position functionally reports to the LVBU Chief Engineer and supports the Common Hardware Program Manager. Directs all phases of programs from inception through completion. Responsible for the cost, schedule and technical performance of CHW programs or subsystems of major programs. Participates in the negotiation of contract and contract changes. Coordinate the preparation of proposals, business plans, proposal work statements and specifications, operating budgets and financial terms/conditions of contract. Acts as primary technical contact for CHW activities, supporting internal review sessions to discuss cost, schedule, and technical performance. Establish design concepts, criteria and engineering efforts for product research, development, integration, and test. Develop new products or expand the product line based on Program need. Establish milestones and monitors adherence to master plans and schedules, identify program problems, and obtain solutions, such as allocation of resources or changing contractual specifications. Direct the work of employees assigned to the CHW group from technical, manufacturing, and administrative areas. Perform other duties, as necessary, commensurate with the role of Program Manager.
**Basic Qualifications:**
This position requires a Bachelor of Science Engineering plus 9 years of related experience; Masters degree plus 7 years of related experience **.**
+ Candidate must be capable of receiving a Secret security clearance.
+ U.S. Citizen
+ Ability to Travel Occasionally (6-8 trips/year)
+ Candidate must have a minimum of 7 years directly related experience in engineering management.
+ Position is in Chandler, AZ and requires 100% on-site support.
+ Experience developing and managing project cost and schedules.
+ Thorough understanding of design standards, procurement processes, and configuration management.
+ Ability to work under limited supervision and complete projects on time and on budget.
+ Multi-disciplinary engineering experience.
**Preferred Qualifications:**
+ Candidate will have a minimum of 10 years of directly related experience in engineering and engineering management.
+ Relevant experience with electronic systems and/or an electrical engineering background.
+ Demonstrated verbal and written communication excellence with customers and all levels of management.
+ Experience with missile systems including development, testing, production, and operation.
+ Exceptional leader of a multi-disciplined team.
**Salary Range:** $156,000 - $234,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Chandler, AZR10143397ArizonaAZManager Programs 3 - "Chief Engineer" Common HardwareNone3A1716C439EF4FD483A63A89909C66CAhttp://northropgrumman-veterans.jobs/3A1716C439EF4FD483A63A89909C66CA23SunnyvaleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Mission Systems sector is looking for a Manager, Programs Level 2 to support the Marine Systems business unit in Sunnyvale, CA.
The primary objective will be the delivery of all contractual requirements on cost and on schedule, while achieving all technical requirements and continuing customer intimacy to support ongoing, critical US submarine production. The individual will direct all phases of the VA Class Block V Turbine Generator production and development programs from inception through completion and be responsible for the cost, schedule and technical performance of the SSTG program. As this is primarily a production program leadership position, the candidate must reside in the work location area and be able to work from the Sunnyvale Campus at least 4 days per week. Participates in the negotiation of contract changes. Coordinates the preparation of proposals, business plans, proposal work statements and specifications, operating budgets and financial terms/conditions of contract. Acts as primary customer contact for program activities, leading program review sessions with customer to discuss schedule and technical performance. Establishes design concepts, criteria and engineering efforts for product research, development, integration and test. Develops new business via engineering change orders to address obsolescence or expand product capability. Establishes milestones and monitors adherence to master plans, earned value monitoring, schedules, risk and opportunity management, identifies program problems and obtains solutions, such as allocation of resources or changing contractual specifications. Directs the work of employees assigned to the program from technical, manufacturing and administrative areas. This position reports to the Program Manager for VA Class Block V Turbine Generator production. A technical undergraduate degree is desired for this position. A secret level clearance is required.
Program Managers are responsible for leading all phases of the program life cycle from inception (proposal development) through startup, execution, and completion (contract closeout). Primary responsibilities are the management of cost, schedule, and technical performance of company programs or subsystems and include, but are not limited to:
+ Cultivating customer relationships and intimacy to develop further opportunities within the customer community
+ Developing new business opportunities through long term strategic planning, capture planning, and development of proposals and business plans
+ Leading and directing cross-functional Integrated Program Teams (IPT) to meet program cost, schedule and technical performance objectives
+ Measuring and reporting program performance
+ Delivering presentations to customers, executive management and other program stakeholders
+ Participating in the negotiation of contracts, contract changes, specifications, operating budgets, schedule milestones, and key terms and conditions.
+ Creation, review and finalization of the program Statement of Work
+ Identification, distribution, tracking, and completion of program requirements
+ Establishment and management of the program and subordinate baselines
+ Development and adherence to budget baselines utilizing Earned Value Management (EVM) or similar cost & schedule control methodologies and tools
+ Managing suppliers to meet program objectives.
+ Adherence to all internal processes, policies, and applicable industry standards
+ Ensuring program team understands and adheres to contract scope, and manages change through control board activities
+ Development and adherence to master plans and schedules
+ Conducting thorough risk & opportunity management practices including identification, mitigation and realization
**Basic Qualifications:**
+ Bachelor's Degree and 9 years, or Master's and 7 years' experience supporting U.S. Government contracts and customers and/or project management in other industries
+ The ability to obtain and maintain a DoD Secret clearance is required
**Preferred Qualifications:**
+ FFP contract type experience with Earned Value Management Systems reporting.
+ Large mechanical/electrical system manufacturing, integration and test experience
**Salary Range:** $151,900 - $227,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Sunnyvale, CAR10146367CaliforniaCAManager Programs 2None41730C373E734CF1846F5715E8D2843Chttp://northropgrumman-veterans.jobs/41730C373E734CF1846F5715E8D2843C23CharlottesvilleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We are looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions.
By joining in our shared mission, we 'II support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you 'II have the resources, support, and team to do some of the best work of your career.
Northrop Grumman's Mission Systems Sector is seeking a Principal Facilities Engineer. This position will initially be based in **Charlottesville, VA** for approximately 1-2 years and will then transition to the **Waynesboro, VA** facility.
**Roles and responsibilities:**
+ Plans, designs and oversees the construction of new or expanded facilities.
+ Prepares architectural and engineering cost estimates and schedules.
+ Obtains and supervises outside contractors.
+ Recommends architectural and engineering design procedures and standards for the planning and design of facilities.
+ Coordinates with architecture/engineering firms in developing design criteria and preparing layout and detail drawings.
+ Prepares bid sheets and contracts for construction and facilities acquisition. Reviews and estimates design costs including equipment, installation, labor, materials, preparation, and other related costs.
+ Supervises the detailed design, budgetary costing, standardized construction, and final inspection of facility projects.
+ Inspects or directs the inspection of construction and installation progress to ensure conformance to established drawings, specifications, and schedules.
**Basic Qualifications for Principal Facilities Engineer:**
+ 5 years with a BS in Engineering, Mechanical/ Electrical or related technical field; or 3 years with a MS; or 9 years of experience may be accepted in lieu of degree; experience to include facilities related experience.
+ Strong communication skills.
+ Prior facilities related or construction experience.
+ Ability to obtain and maintain a Secret clearance
**Preferred Qualifications** :
+ Experience with CAD.
+ Experience with SAP.
+ Active Secret level clearance.
+ Facilities Mechanical/ Electrical Experience
+ Facilities experience in the Defense sector along with understanding of security standard ICD 705.
**Salary Range:** $84,200 - $126,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Charlottesville, VAR10142777VirginiaVAPrincipal Engineer FacilitiesNone472B5594BEF5463085315EC577EB8524http://northropgrumman-veterans.jobs/472B5594BEF5463085315EC577EB852423PalmdaleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Principal / Senior Principal Test Conductor** to join our team of qualified, diverse individuals within our Test and Evaluation organization. This role is located in **Palmdale, CA,** **Edwards AFB, or Mojave, CA** but may flex between locations **.**
**This role may be filled at the Principal or Senior Principal level**
We have multiple needs in support of multiple programs and are looking for flexible, motivated individuals to be based at facilities within the Antelope Valley area (Palmdale, Mojave, & Edwards AFB) to help maintain and grow our capabilities as well as support integration of new sensors. In this role, you will support the operation and testing of various aircraft avionics systems and Ground Control Stations. This requisition may work ANY Shift (4x10 (M-TH, Tu-Fr), 3x10 (Fri-Sun), or 9/80, 1st shift or 2nd shift) and this shift assignment may change based on business needs.
A successful applicant will demonstrate knowledge of aircraft subsystem design, test data requirements, and test methodologies used in the aerospace industry.
These positions will require up to 25% travel.
**Key Responsibilities:**
+ Support mission execution and deployments.
+ Maintaining and upgrading electronic equipment, supporting ground and flight tests, troubleshooting equipment faults and failures, modifying, and installing new equipment to support the program.
+ Oversee the operational aspects of engineering tests and serves as liaison to program and engineering.
+ Review status of test requirements, manages schedules of test executions, develops/updates/releases test procedures, and prepares test summary reports.
+ Assess anomalies, document troubleshooting efforts, and develop resolutions in accordance with documented procedures in conjunction with Test and Quality Engineering.
We offer flexible work arrangements, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**Basic Qualifications (Principal):**
+ Must have an Associate of Science degree AND 7 years of related professional/military experience, OR a Bachelor of Science degree AND 5 years of related professional/military experience, OR a Master of Science degree AND 3 years of related professional/military experience, OR a Ph.D. AND 0 years of related professional/military experience OR 9 years of related professional/military experience in lieu of a degree
+ Must have the ability to obtain and maintain a DoD Secret Clearance prior to the commencement of employment
+ Must have the ability to obtain and maintain a DoD Top Secret clearance within a reasonable amount of time as determined by business needs
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance within a reasonable amount of time as determined by business needs
+ Must be able to support ANY Shift (4x10 (M-TH, Tu-Fr), 3x10 (Fri-Sun), or 9/80, 1st shift or 2nd shift) and this shift assignment may change based on business needs.
+ Requires the ability and willingness to work non-standard hours and multiple shifts on a part-time basis. Overtime, odd shifts, and weekend work may occasionally be required.
+ Must have in-depth knowledge of aircraft subsystem design, test data requirements, and test methodologies used in the aerospace industry.
+ Must have ability and willingness to primarily work at the facility at Edwards AFB or Mojave.
**Basic Qualifications (Senior Principal):**
+ Must have an Associate of Science degree AND 11 years of related professional/military experience, OR a Bachelor of Science degree AND 9 years of related professional/military experience, OR a Master of Science degree AND 7 years of related professional/military experience, OR a Ph.D. AND 4 years of related professional/military experience OR 13 years of related professional/military experience in lieu of a degree
+ Must have the ability to obtain and maintain a DoD Secret Clearance prior to the commencement of employment
+ Must have the ability to obtain and maintain a DoD Top Secret clearance within a reasonable amount of time as determined by business needs
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance within a reasonable amount of time as determined by business needs
+ Must be able to support ANY Shift (4x10 (M-TH, Tu-Fr), 3x10 (Fri-Sun), or 9/80, 1st shift or 2nd shift) and this shift assignment may change based on business needs.
+ Requires the ability and willingness to work non-standard hours and multiple shifts on a part-time basis. Overtime, odd shifts, and weekend work may occasionally be required.
+ Must have in-depth knowledge of aircraft subsystem design, test data requirements, and test methodologies used in the aerospace industry.
+ Must have ability and willingness to primarily work at the facility at Edwards AFB or Mojave.
**Preferred Qualifications:**
+ Active DoD Secret clearance
+ Previous ground control station experience.
+ Experience with the Global Hawk or Triton platform (all blocks).
+ Strong interpersonal and leadership skills with excellent communications skills.
**Salary Range:** $97,500 - $146,300
**Salary Range 2:** $120,900 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10143336CaliforniaCAPrincipal / Senior Principal Test Conductor - Flight TestNone568B0062881E4BFBAED79F6E5620A0F4http://northropgrumman-veterans.jobs/568B0062881E4BFBAED79F6E5620A0F423SunnyvaleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
+ Job Description **BASIC QUALIFICATIONS:**
+ Journeyman level skills required.
+ Minimum working experience as a journeyman level 10+ years.
+ Applicable knowledge and mastery of hand tools and power tools required to perform all aspects of carpentry work in a safe and proficient manner. **PRIMARY FUNCTION:** Construct, remodel, repair, finish, paint and install temporary or permanent wooden forms, structures, partitions and furniture such as tables, cabinets, benches, and finish concrete foundations and floors included within the facility and grounds. **TOOLS AND EQUIPMENT:** Band saw, circular saw, jointer, planer sander, trowel, transit and level, brush, various paint equipment, lathe, hand tools such as saw, hammer, level, nail, gun, tape, square, chalkline, pliers, putty knife, etc. Safety glasses, hard hat, gloves, etc. **MATERIAL:** Lumber, plywood, insulation, tile, plaster board, hardboard, shingles, concrete, nails, bolts, screws, glue, tape, paint, solvent, putty, and glass. **DIRECTION OF OTHERS** Direct support personnel as needed. **WORKING PROCEDURE:** THE FOLLOWING ARE THE USUAL MAJOR JOB DUTIES BUT THIS JOB DESCRIPTION DOES NOT PRECLUDE THE PERFORMANCE OF OTHER DUTIES BY THE INCUMBENT, NOR THE QUESTION BEING RAISED ON THE PROPER RATE OF PAY FOR THE ASSIGNMENT.
+ Edit, modify and/or generate basic drawings/sketches for area renovations. This would include efforts toward mock-ups, furniture fabrication and cabinetry.
+ Perform as Northrop Grumman representative with sub-contractors involved with on-site projects to ensure compliance with Company safety practices.
+ Coordinate with management to develop rough estimates for demolition, construction, refurbishment or tenant remodeling projects, including generic bills of materials, required in-house labor and sub-contractor support, as requested.
+ Read and follow blueprints, drawings and specifications provided with job assignments.
+ Ensure proper time and material charges are recorded for ach job assignment.
+ Develop material lists and needs from assigned jobs or from drawings supplied and order required materials as needed.
+ Understand and perform job tasks in compliance with local building code and other applicable codes related to buildings and/or installations.
+ Perform assigned tasks in accordance with all safety regulations, applicable work authorizations, specifications and all drawings where provided.
+ Setup and operate all woodworking tools and machines required to cut, shape, drill and sand material to size including selection and installation of proper cutting tools or abrasives.
+ Select and adjust appropriate machine stops, guides, feeds and speeds. Layout and mark materials to be processed as required by the job. Safely operate all auxiliary equipment necessary to perform job assignments, including but not limited to manlifts, scissor lifts, generators, compressors an spray painting equipment.
+ Assemble, fit, fasten and finish components, walls, doors, door frames, windows, cabinets, work platforms, fixtures and pattern mockups, including all related hardware.
+ Perform tenant improvement renovations including installation/modification of demountable wall systems, modular partition/furniture systems, office partitions, raised-floor systems, drop ceilings, acoustic panels, weather-stripping, window coverings, wall fans or air conditioning units and mounted office accessories.
+ Maintain building structural systems including walls, siding, doors, windows, stairs, ladders, railings, flooring, columns and trusses.
+ Prepare, tape, texture, sand and finish paint drywall joints and surfaces.
+ Stain, paint or otherwise finish assembled components, furniture/cabinets, or renovated areas, interior or exterior in accordance with project plans.
+ Install, replace or repair door locks, latches and other hardware used throughout building spaces, including that used on furniture and cabinets. Repair, waterproof or renovate roof systems as required.
+ Layout, fit and install concrete forms per drawings.
+ Estimate quantity, order, pour and finish concrete according to specifications.
+ Maintain work areas, tools and equipment in a safe, clean and orderly condition.This is Union represented position.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Sunnyvale, CAR10141097CaliforniaCAU100 FACILITY CARPENTER/MAINTNone68CF0B767B6447069A2E1499DF8D565Dhttp://northropgrumman-veterans.jobs/68CF0B767B6447069A2E1499DF8D565D23PalmdaleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Aeronautics Systems sector is seeking a **Supply Chain Planning Specialist (Level 3 or 4)** to join our team of qualified, diverse individuals. This position is located in **Palmdale, CA.**
+ Openings on multiple shifts / schedules.
+ Position is in-office, unable to accommodate a telecommute/hybrid schedule.
**Essential Functions:**
+ Defines and develops material strategy.
+ Responsible for the assessment of supply chain resources; aggregates and prioritizes demand requirements.
+ Develops, coordinates, and executes material forecasting and delivery schedule.
+ Participates in make/buy process, long-term capacity and resource planning; product phase-in/phase-out, manufacturing ramp-up, end-of-life management and product-line management.
+ Lead affordability, cost savings, and/or process improvement strategies.
+ Analyzes purchase material supply/demand position using Material Requirements Planning (MRP) tools.
+ Monitors inventory levels based on dependent and independent Bill-of-Materials (BOM) requirements.
+ Creates/reduces supply requirements based on inventory adjustments.
+ Participates in long-term capacity and resource planning to ensure proper scheduling of new product line phase-ins as well as existing product line phase-outs.
+ Interfaces with Source process area regarding inventory levels, working capital and other areas as needed.
+ Receives/reviews requirements for non-standard parts.
+ Analyzes lead times and identifies critical path procurements.
+ Supports manufacturing production line, providing planned and unplanned requirements in an expedited manner.
+ Collaborate cross functionally with supplier quality, engineering, quality, production and other support functions.
+ Prioritize and communicate impacts of current and potential material shortages.
**Basic Qualifications (Level 3):**
+ Bachelor's degree with 6 years of experience, a Master's degree with 4 years of experience, or 10 years of experience with a high school diploma in lieu of a degree. Experience must be in supply chain, procurement, logistics or another relevant field.
+ Proficient with Microsoft Suite with an emphasis on Excel and Power Point.
+ Ability to perform 50% desk work and 50% production floor integration responsibilities with the ability to lift up to 25 pounds.
+ Ability to obtain/maintain DOD Secret Clearance and a Program Special Access within a reasonable period of time, as determined by the company to meet its business needs (prior to starting).
**Basic Qualifications (Level 4):**
+ Bachelor's degree with 10 years of experience, a Master's degree with 8 years of experience, or 14 years of experience with a high school diploma in lieu of a degree. Experience must be in supply chain, procurement, logistics or another relevant field.
+ Proficient with Microsoft Suite with an emphasis on Excel and Power Point.
+ Ability to perform 50% desk work and 50% production floor integration responsibilities with the ability to lift up to 25 pounds.
+ Ability to obtain/maintain DOD Secret Clearance and a Program Special Access within a reasonable period of time, as determined by the company to meet its business needs (prior to starting).
**Preferred Qualifications:**
+ Bachelor's degree from accredited institution.
+ Experience with ERP system, specifically SAP desired.
+ Experience in Aerospace Manufacturing.
+ MES Program Experience.
+ Experience working knowledge in production planning process.
+ Working knowledge with Total Quality Management and/or, Procurement, and/or Supplier Quality Assurance.
**Salary Range:** $88,700 - $133,100
**Salary Range 2:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Palmdale, CAR10143854CaliforniaCASupply Chain Planning Specialist (Level 3 or 4)None6C2BF3E6D96146769FBC0C8FD934D134http://northropgrumman-veterans.jobs/6C2BF3E6D96146769FBC0C8FD934D13423ClearfieldNorthrop GrummanUnited StatesUSA2024-03-27 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman is currently seeking either a Level 3 or Level 4 Maintenance Technician to support our Maintenance Team at our Clearfield, Utah location.**
**Role Description & Responsibilities:**
The Maintenance Technician is responsible for maintaining production and quality by ensuring operation of machinery and mechanical equipment.
**Job Duties:**
+ Preform mechanical and or electrical trouble shooting on all equipment
+ Perform routine mechanical / electrical/electronic preventative maintenance on plant equipment, on our CNC machines, Boilers, Auto claves, HVAC, General lighting, Air compressors, Hydraulic systems, Overhead Bridge Cranes etc.
+ Other duties to support Maintenance Team as assigned
+ Shift as follows: 2 day on, 2 days off, 3 days on, 3 days off (Example: Work Mon Tues, Off Wed Thu, Work Fri, Sat, Sun, etc.). Shift differential paid during eligible shifts.
+ Hours: 6:00 PM-6:30 AM
This position may be filled as either a level 3 Maintenance Technician or a level 4 Maintenance Technician.
**Basic Qualifications for level 3:**
+ High School diploma or equivalent and 4 years of experience working in the manufacturing industry as an Electrical / Mechanical Maintenance Technician
+ Basic reading, writing, and arithmetic skills required
+ Strong computer, math, analytical, and planning skills
**Basic Qualifications for level 4:**
+ High School diploma or equivalent and 6 years of experience working in the manufacturing industry as an Electrical / Mechanical Maintenance Technician
+ Basic reading, writing, and arithmetic skills required
+ Strong computer, math, analytical, and planning skills
**Preferred Skills and Qualifications:**
+ Licensed Journeyman or Master Electrician
+ Electrician: Must be licensed and knowledgeable in 3 phase and single-phase power distribution systems, motor controls, wiring and trouble-shooting of such systems
+ Working knowledge of HVAC maintenance
**Competencies for Success:**
+ Positive individual who is willing to expand current skill set, through schooling and training
+ Strong interpersonal communication skills with ability to work with others at all levels of the organization
+ Strong attention to detail and accuracy
+ Ability to multitask and prioritize
+ Ability to work independently with minimal supervision
+ Able to solve problems
+ Willingness to meet customer needs
+ Ability to work in a dynamic, fast paced, diverse environment
+ Initiative, self-starter, adaptable, and high motivation for excellence
+ High energy, results oriented, self-motivated / self-reliant, team player
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly collaborative workplace. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do. Does this sound like you?
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself! Every ERG is inclusive of all employees!
At Northrop Grumman, we are innovating-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Salary Range:** $48,800 - $81,400
**Salary Range 2:** $58,000 - $96,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Clearfield, UTR10133090UtahUTLevel 3/Level 4 Maintenance Technician - NightsNone7D724449C828407794B17DD5E163372Chttp://northropgrumman-veterans.jobs/7D724449C828407794B17DD5E163372C23El SegundoNorthrop GrummanUnited StatesUSA2024-03-27 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems is seeking a **Level 3 Principal Engineer Facilities** located **on site** in **El Segundo, CA** .
Using strong interpersonal and communication skills, the Facilities Engineer will:
+ Gather and evaluate data, blueprints, and reports
+ Determine facility and equipment specifications
+ Reviews and estimates design costs including equipment, installation, labor, materials, preparation, and other related costs
+ Design and oversee the implementation of facility expansion, layout, various systems, and quality controls
+ Evaluate operational systems and facility production equipment to ascertain and improve operational efficiencies
+ Develop processing plans and optimizing resource allocation
+ Plan and coordinate infrastructure and equipment maintenance schedules and activities
+ Prepares bid sheets and contracts for construction and facilities acquisition
+ Collaborate with Vendors
+ Coordinate and oversee contractors performing maintenance activities
+ Inspects or directs the inspection of construction and installation progress to ensure conformance to established drawings, specifications, and schedules
+ Ensure compliance with relevant regulations, building codes, and health and safety standards
+ Prepare reports and compliance documentation
+ Machine Geometry, CNC Machine Repair and related industrial equipment, and/or Advanced Mechanical Knowledge of Production Machinery Production machine equipment
+ May redesign optical, vacuum, electrical, electronic, or mechanical systems for incorporation on existing manufacturing equipment
**Basic Qualifications:**
+ Bachelor's degree with 5 years of Facilities Engineer experience OR a Master's Degree with 3 years of Facilities Engineer experience OR a PhD with no experience
+ Excellent interpersonal communication skills
+ Project management, machine troubleshooting and problem-solving skills
**Preferred Qualifications:**
+ Mechanical, Electrical, or Industrial Engineering
+ Manufacturing industry experience
+ Automation equipment repair experience
+ Experience with CNC machinery equipment
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly inclusive environment! We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees! Does this sound like what you're looking for?
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Salary Range:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.El Segundo, CAR10142909CaliforniaCALevel 3 Principal Engineer FacilitiesNone93C3CE2A4CFC443CB9D4BF508AA4E154http://northropgrumman-veterans.jobs/93C3CE2A4CFC443CB9D4BF508AA4E15423BaltimoreNorthrop GrummanUnited StatesUSA2024-03-27 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Mission Systems is seeking an Principal Industrial Security Analyst /Sr. Principal Industrial Security Analyst :** to provide security operations support multiple programs as it relates to all applicable classified federal, contractual, customer and company requirements. The responsibilities include, but are not limited to, the following: supporting fast-paced, multi-discipline, high-profile programs; create, maintain and leverage working relationships with internal and external customers; develop and administer security programs and procedures for classified or proprietary materials, documents, and equipment. Primary security oversight and responsibility will include local and deployed flight test activities, as well as test activities and operations in shared multi-program areas.
Experience in program security, with knowledge of implementing a multi-disciplined security program (access control, personnel security, physical security, OPSEC etc.). Studies and implements federal security regulations that apply to company operations. Obtains rulings, interpretations, and acceptable deviations for compliance with regulations from government agencies. Prepares manuals outlining regulations, and establishes procedures for handling, storing, and keeping records, and for granting personnel and visitor's access to restricted records and materials. Conducts security education classes and related briefings. Investigates security violations and prepares reports specifying preventive action to be taken. Working knowledge of DoD 5205.07 SAP Manuals volumes 1-4, ICD 705, ICD 704, and/or NISPOM applicable sponsor procedures.
**Basic Qualifications for a level 4:**
Current Active Secret clearance with ability to obtain/maintain Top Secret. In-scope investigation (T3, T3R, T5, T5R, SSBI, SBPR, PPR) completed within last 6 years or current enrollment in Continuous Evaluation (CE) program. Ability to meet enhanced security requirements and obtain/maintain SAP eligibility and access.
10 Years of Security or related experience with Bachelors; or 6 Years with Masters; an additional 4 years of applicable work experience may be substituted for Bachelor's Degree.
**Basic Qualifications for a level 3:**
Current Active Secret clearance with ability to obtain/maintain Top Secret. In-scope investigation (T3, T3R, T5, T5R, SSBI, SBPR, PPR) completed within last 6 years or current enrollment in Continuous Evaluation (CE) program. Ability to meet enhanced security requirements and obtain/maintain SAP eligibility and access.
6 Years of Security or related experience with Bachelors; or 4 Years with Masters; an additional 4 years of applicable work experience may be substituted for Bachelor's Degree.
**Preferred Qualifications:**
Top Secret clearance.
Experience in flight test security
CDSE Industrial Security Training - FSO for Possessing Facilities, Intro to SAP, SAP Compliance, SAP Mid-Level, etc.
Industrial Security Professional Certifications - SPeD SFPC, NCMS ISP, etc.
Experience with customer high side networks to include CV2, CORE, CNET, JADE, etc.
Excellent customer service and communication skills.
Ability to work independently and follow projects through to completion.
Ability to maintain flexibility to deal with changing priorities and deadlines.
Strong working knowledge of basic office automation tool suites such as MS Office (Word, Excel, PowerPoint).
OPSEC program experience.
Self-starter with minimal supervision.
Willing to work extended hours, in a fast paced, deadline driven environment, excellent communication skills speaking, writing skills and organized skills enabling effective communications.
Computer and desktop office software products experience.
Classification review experience.
**Salary Range:** $84,600 - $127,000
**Salary Range 2:** $104,900 - $157,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Baltimore, MDR10135118MarylandMDSr Principal Industrial Security Analyst/Principal Industrial AnalystNone9FD811463C44478CA0DE2B605CA5DBB7http://northropgrumman-veterans.jobs/9FD811463C44478CA0DE2B605CA5DBB723SykesvilleNorthrop GrummanUnited StatesUSA2024-03-27 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Mission Systems (NGMS)** sector is looking for a **Program Manager Level 2** to support the AN/SPY-6 Programs in the Power & Control Systems Operating Unit (OU) in **Sykesville, MD** . The Power & Control Systems group is part of our Naval & Oceanic Systems Business Unit (BU) in the Maritime/Land Systems & Sensors Division. This BU has a unique range of power and controls technology programs spanning development to production, all while operating in a challenging and fast-paced environment to bring needed capability to the war fighter. Both 9/80 and 4/10 flexible work schedules are available.
**What You'll Get to Do:**
Program Managers are responsible for leading all phases of the program life cycle from inception (proposal development) through startup, execution, and completion (contract closeout). Primary responsibilities are the management of cost, schedule, and technical performance of company programs or subsystems and include, but are not limited to:
+ Responsible for and managing the AN/SPY-6 integration and product support (I&PS)(engineering support contracts), Non-recurring Engineering effort (NRE), and production proposal support.
+ Establishing a program organization that effectively addresses customer requirements and incorporates the necessary internal and external sub-organizations.
+ Leading and directing cross-functional Integrated Program Teams (IPT) to meet program cost, schedule and technical performance objectives.
+ Measuring and reporting program performance.
+ Delivering presentations to executive management and other program stakeholders.
+ Participating in the negotiation of contracts, contract changes, specifications, operating budgets, schedule milestones, and key terms and conditions.
+ Establishing design concepts, criteria, and engineering efforts for product research, development, integration and test.
+ Creation, review, and finalization of the program Statement of Work.
+ Identification, distribution, tracking, and completion of program requirements.
+ Establishment and management of the program and subordinate baselines.
+ Development and adherence to budget baselines utilizing Earned Value Management (EVM) or similar cost & schedule control methodologies and tools.
+ Identifying, allocating and managing program resources, including workforce planning.
+ Managing Government/customer supplied property or information (GFE, CFE, etc.)
+ Managing suppliers to meet program objectives.
+ Adherence to all internal processes, policies, and applicable industry standards.
+ Ensuring program team understands and adheres to contract scope and manages change through control board activities.
+ Development and adherence to master plans and schedules.
+ Conducting thorough risk & opportunity management practices including identification, mitigation, and realization.
**Basic Qualifications:**
+ Bachelor's Degree and 9+ years, Master's Degree and 7+ years, or a PhD and 4+ years of relevant project management experience supporting U.S. Government contracts and customers and/or project management in other industries.
+ Experience leading the performance of technical tasks on schedule, at cost and achieving all requirements as either project lead, integrated program team lead or cost account manager.
+ Experience with Earned Value Management (EVM).
+ Previous experience leading or supporting proposal efforts as proposal manager, program manager, pricing analyst, or functional lead/cost account manager.
+ Demonstrated experience and success leading teams/and or organizations to achieve a common goal.
+ Experience communicating with engineering and technical leads and translating to non-technical personnel.
+ Must possess strong organization and interpersonal skills to communicate effectively verbally and in writing with all levels of management and individual contributors.
+ Ability to travel domestically as required.
+ U.S. citizenship required (No additional/dual citizenship's).
**Preferred Qualifications:**
+ CAM certified.
+ Prior experience with production program management, operations management, or engineering.
+ Bachelor's degree in Engineering or equivalent discipline.
+ Master's Degree in Business Administration (MBA), Engineering, Technical Management, or equivalent.
+ Prior experience with MS Project.
+ Prior experience developing high value, complex proposals.
+ Prior experience managing engineering and technical teams.
+ Familiarity with Federal Acquisition Regulations (FAR) and Defense Federal Acquisition Regulations (DFAR).
**Salary Range:** $145,000 - $217,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Sykesville, MDR10153361MarylandMDProgram Manager 2 - SPY-6 Power SystemsNoneA18CCE3516514452AAECD796F3F1FB84http://northropgrumman-veterans.jobs/A18CCE3516514452AAECD796F3F1FB8423CheltenhamNorthrop GrummanUnited KingdomGBR2024-03-27 06:15:36**Your Opportunity to Define Possible** **.** **Our Opportunity t** **o Deliver the Nation's Security** **. Together**
**Salary:** £47,000 - £71,000
**Role clearance** **type :** You must be able to gain and maintain the highest level of UK Government clearance
**Location:** Cheltenham
**About Your Opportunity:**
TestOps exists to ensure that the product development pipeline has all the testing frameworks and tools needed. As a TestOps Engineer, you are not just writing test code but actively owning the test platform & tooling to ensure that the path to production is as efficient as possible. This is a hybrid role, which challenges traditional test/operations thinking; it expands traditional skill sets and puts you right alongside the development team.
We are looking to recruit candidates that have either Test or Infrastructure experience but more importantly have a willingness to learn the complimentary skill set. To us, the key is an understanding and interest in the big picture; you will be a key part in both the quality of the output and the efficiency of how we get there, ultimately we want to release better products faster.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible and hybrid working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme -** exceptional performance is recognised through our annual incentive programme which is awarded to top performers who excel.
+ **Career Development -** opportunity for ongoing professional development and career growth opportunities.
**Your Responsibilities** **:**
+ You will work in a collaborative and multi-functional team. Some days you might be writing tests, others improving the test platform itself.
+ Deliver Test capability & automated solutions to support mission critical solutions. Anything manual or in efficient should be in the cross-hairs for redesign.
+ Translate business and technical requirements into comprehensive testable scenarios
+ Design & carry out multi-level test plans catering for test data, system efficiency & reliability amongst others.
+ Containerisation of test solutions, automatically building up & tearing down to maximise cost efficiencies and auto generation of test reports for both technical & non-technical audiences.
**Your Experience** **:**
+ A background in either Test or Infrastructure with an enthusiasm for automation & efficiency.
+ Self-motivated with an eagerness to learn and able to inspire others in best practice, focused on quality outcomes.
+ Experience of working with some of the following:
+ **Test Tooling:** Cypress, Selenium, Robot, Cucumber, Molecule, JMeter
+ **Infrastructure Tooling:** Docker, Kubernetes, Ansible, Terraform, Splunk
+ **Other:** Linux, PowerShell, Bash, SQL, VMWare, GitLab, Jenkins
+ **Nice to have:** Python, JavaScript, AWS, Atlassian Tools (JIRA, Confluence etc)
**Your Future Team** **:**
The teams in this space work on some of the toughest challenges that are key to our clients success, as such they carry a heavy load and require the ability to be able to dip in and share the workload. Success in this area requires flexibility in tasking, adapting to the problem at hand and picking up the skills along the way. This work is predominantly on client site and will vary between integrating with development and test teams, as well as taking on standalone tasks when needed.
We believe that creating a team that values diversity and fosters inclusion is essential to great performance. We know the best ideas come from diversity of thought, background, perspective, culture, gender, race, age and many other elements. We welcome candidates from all backgrounds and particularly from communities currently under-represented within our industry . We treat everyone with respect and foster safe and inclusive environments.
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And w ithin a respectful and inspirational environment, we value what you say and do.
**How to Apply:**
**Interested in our opportunity?**
**Yes** - then simply submit your application online. Your application will be reviewed by one of our expert recruiters who'll then respond advising you of the outcome and next steps for successful candidates.
**Possibly, I'd like to find out more** - then connect direct with talentenquiries@uk.ngc.com , where one of our recruitment business partners will be happy to support you with any enquires.
Background checks and potentially security clearance form part of the recruitment process, our team will inform you of the procedures when required.
**Northrop Grumman UK:**
Work with a global brand that makes a real contribution to our nation's security and future. At Northrop Grumman UK, the brightest minds come together to push the boundaries and Define Possible. As leaders in the digital transformation of Aerospace, Defence and Intelligence we are providing ground-breaking outcomes for our customers.
**UK Cyber & Intelligence Business** **:**
Our UK Cyber & Intelligence business combines modern software development approaches with a rich heritage and experience in the Defence and security sectors. Our customers have complex and sensitive data and information requirements requiring a mission partner who quickly understands the context, delivering and sustaining a portfolio of challenging technology projects at scale and pace, supporting them through an ambitious digital transformation programme.
**Find out more** : https://www.northropgrumman.com/careers/job-search-united-kingdom/
\#LI-CC1
\#LI-Hybrid
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.Cheltenham, GBRR10135144Senior TestOps EngineerNoneC630AF5DDCD7429BA138ADDDEE22D44Ehttp://northropgrumman-veterans.jobs/C630AF5DDCD7429BA138ADDDEE22D44E23LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace! Do you enjoy a culture where your voice is valued? Start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. Here at Northrop Grumman, we take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today. As a company, we strive to create a diverse and inclusive workforce and understand that our employees are at their best with a healthy work-life balance, both of which are examples of the many benefits of joining our team!
**About this position:**
We are seeking a computational scientist or applied mathematician to deliver significant and ongoing contributions to our growing numerical simulation team.
This candidate will aid in the development of algorithms, codes, and analytical tools that contribute to numerically solving complex mathematical models of physical phenomena related to superconductivity.
The ideal candidate has experience in the numerical simulation and analysis of partial differential equations, knowledge of state-of-the-art scientific computing methods, and the ability to analyze deterministic or stochastic differential equations using techniques commonly utilized in applied mathematics. Strong candidates demonstrate scientific curiosity and are willing to learn about emerging technologies and will be able to effectively communicate their own knowledge to others.
\#NGAPS
**This position requires work on site at our Linthicum, MD location or our Annapolis Junction, MD location.**
**Your responsibilities:**
+ Build needed physics-based mathematical models and/or improve upon existing models for the accurate description of superconductivity, electromagnetism, and quantum-mechanical systems.
+ Develop and/or implement algorithms, routines, and codes in C, C++ and Python for efficiently solving partial differential equations in a high-performance computing (HPC) environment.
+ Collaborate with numerical simulation team members on code development, testing and validation of simulation tools, and analysis of mathematical or numerical models.
+ Communicate frequently with the simulation team, physicists, engineers, and organizational leadership to determine development requirements and report on progress.
+ Document methods and results in technical papers and presentations.
**What you need to be successful in the role:**
+ Creative problem-solving skills.
+ Desire to learn new technologies and technical skills necessary to advance them.
+ Ability to effectively collaborate in a small group setting as well as work independently.
+ Strong written and oral Interdisciplinary communication skills.
**This position can be filled as a Principal level OR Sr. Principal level. Qualifications for both are listed below:**
**Basic Qualifications for a Principal Computational Scientist:**
+ Ph.D. degree in scientific computation, applied mathematics, computer science, engineering, physics, or a related field and 0-4 years of related work experience.
+ Knowledge of algorithms, methods, software libraries, and other tools commonly used in scientific computation.
+ Proficiency writing code in C, C++ or Fortran; some experience writing code in Python.
+ Ability to effectively communicate with peers in a collaborative, multi-disciplinary environment.
+ Ability to obtain and maintain a Top Secret (TS/SCI) with polygraph clearance per business requirements. US Citizenship is a requirement of this.
**Preferred Qualifications:**
+ Background in scientific computation, numerical analysis, or HPC.
+ Experience implementing numerical methods for numerically solving partial differential equations, nonlinear algebraic equations, or differential-algebraic systems of equations.
+ Experience applying finite element-based methods in the analysis and solution of differential equations.
+ Experience developing mathematical models or validating models against experimental data.
+ Experience working in a high-performance computing environment.
+ Experience writing MPI-based parallel codes and/or scientific codes that make use of general-purpose GPUs.
+ Experience using version control software and familiarity with version control best practices.
+ Experience in creating and maintaining test plans and implementing testing scripts.
+ Knowledge of superconducting physics, quantum mechanics, or condensed matter physics.
+ Active TS/SCI with polygraph clearance.
**Basic Qualifications for a Sr. Principal Computational Scientist:**
+ Ph.D. degree in scientific computation, applied mathematics, computer science, engineering, physics, or a related field with a minimum of 4 years of experience working in a technical capacity involving scientific computation, numerical analysis, or high-performance computing (HPC).
+ Experience implementing numerical methods or leveraging established software libraries to solve partial differential equations, nonlinear algebraic equations, differential-algebraic systems of equations, sparse linear algebra problems, or other types of problems involving scientific computation.
+ Strong proficiency writing code in C, C++ or Fortran; experience writing code in Python.
+ Ability to effectively communicate with peers in a collaborative, multi-disciplinary environment.
+ Ability to obtain and maintain a Top Secret (TS/SCI) with polygraph clearance per business requirements. US Citizenship is a requirement of this.
**Preferred Qualifications:**
+ Significant experience numerically solving partial differential equations in an HPC environment.
+ Experience writing MPI-based parallel codes and/or scientific codes that make use of general-purpose GPUs.
+ Experience applying finite element-based methods in the analysis or solution of differential equations.
+ Experience developing mathematical models or validating models against experimental data.
+ Experience using version control software and familiarity with version control best practices.
+ Experience in creating and maintaining test plans and implementing testing scripts.
+ Knowledge of superconducting physics, quantum mechanics, or condensed matter physics
+ Active TS/SCI with polygraph clearance.
**Interested candidates who meet some or all requirements are encouraged to apply. We welcome applicants from all academic, scientific, and personal backgrounds!**
**Salary Range:** $123,900 - $185,900
**Salary Range 2:** $153,600 - $230,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10128675MarylandMDPrincipal Computational Scientist/Sr. Principal Computational ScientistNoneD2AD8B82D4C4404F8FFF888C1091CD86http://northropgrumman-veterans.jobs/D2AD8B82D4C4404F8FFF888C1091CD8623RoyNorthrop GrummanUnited StatesUSA2024-03-27 06:15:36At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is seeking an **Industrial Security Analyst** to work in our **Roy, UT** location. Candidate will be primarily responsible to perform the responsibilities of leading the Badge Office. Candidate will be responsible for maintaining compliance with applicable security regulations.
The responsibilities include but are not limited to the following:
+ Lead team of employees in the production of employee and visitor badges.
+ Ability to excel in a fast-paced deadline-driven environment.
+ Self-starter with minimal supervision.
+ Exceptional customer service skills.
+ Ability to problem solve and apply critical thinking.
+ Strong organizational skills.
+ Ensure compliance with government and corporate security policies/procedures.
**Basic Qualifications** :
+ Bachelor's degree and three years of related security experience. In lieu of a degree, an additional four years of related security experience may be considered.
+ Candidate must be a US Citizen and have an active U.S. Government **Secret** clearance with the ability to obtain and maintain a U.S. Government Top-Secret Clearance.
+ Organized and efficient at time management.
+ Computer and desktop Microsoft office software products experience.
+ Must be detail-oriented, have meticulous record-keeping, and will be responsible for accurate data input into multiple databases/spreadsheets.
**Preferred Qualifications** :
+ Active U.S. Government Top Secret clearance.
+ Self-starter with minimal supervision.
+ Familiarity with 32 CFR Part 117 (NISPOM) and DoD 5205.07 manuals.
+ Ability to excel in a fast-paced deadline-driven environment.
+ Familiarity with Security Information Management System (SIMs).
+ Meticulous record-keeping and responsible for accurate data input into multiple databases/spreadsheets.
+ Excellent communication skills, speaking, writing skills, and organizational skills enabling effective communications.
**Salary Range:** $62,200 - $93,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10154292UtahUTIndustrial Security Analyst (12622)NoneE1376DDB7ACF4CD289EA7AC721DB9A29http://northropgrumman-veterans.jobs/E1376DDB7ACF4CD289EA7AC721DB9A2923New MaldenNorthrop GrummanUnited KingdomGBR2024-03-27 06:15:36**Your Opportunity to Define Possible. Our Opportunity to Deliver the Nation's Security. Together.**
**Salary:** £46,000 - £57,000
**Role clearance type** **:** Must be able to gain and maintain UK Government Clearance
**Location:** New Malden
**About Your Opportunity:**
Northrop Grumman UK Ltd (NGUKL) are seeking a highly motivated Senior Trade Compliance Officer to join our Trade Compliance function based within our Mission Systems business, in support of our operational and corporate business needs. This is a new key role and will provide further support to the compliance framework, specialising in customs compliance and export compliance laws and regulations, including UK, EU and US (ITAR/ EAR). The role will work closely with the UK, EU and US Trade Compliance teams and with business SMEs to enable business success, ensure integrity while achieving regulatory compliance and the protection of national security interests.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme -** exceptional performance is recognized through our annual incentive programme which is awarded to top performers who excel.
+ **Career Development -** opportunity for ongoing professional development and career growth opportunities.
**Your Responsibilities:**
+ Trade Compliance role with primary responsibility for providing International Trade Compliance (ITC) advice and guidance for the Defence Operating Unit (DOU) and Aeronautics systems (AS) projects, with support to the Cyber and Intelligence Operating Unit (C&I OU) and Space projects as required
+ Support projects and bids by ensuring compliance with UK export control and US ITAR/EAR regulations, as well as customs import & export requirements
+ Complete UK export licensing applications and support US authorisation management for relevant projects to enable compliant and timely deliveries
+ Support the Trade Compliance Manager on digital transformation of Trade Compliance tools, ensuring DOU and AS projects requirements are captured and delivered for current and future state
+ Support accurate export and customs classification determination in coordination with internal Technical Advisors, and maintaining this classification information within relevant company systems/tools (e.g. SAP)
**Your Experience:**
+ 3+ years' experience working in an export/trade compliance role
+ Experience in the UK customs practises and compliance for both export and import
+ Experience in interpreting regulations, providing guidance and authorisation management for UK/ EU and US export control regulations
+ Strong communication and training skills
+ Experience in the Defence/Aerospace industry
**Your Future Team:**
We are a small centralised Trade Compliance team supporting all aspects of business needs. As Trade Compliance practioners, we have a varied level of knowledge and experience in the specialisms of due diligence, customs, and UK & US export regulations and compliance. The team are open minded, creative thinkers, solution focused, and energised by the opportunity to adapt functional working practises and tools/systems as the business changes. We are integrated within business activities and projects team, and seen as subject matter experts. The team is part of a larger Trade Compliance function within the UK, EU and US, which creates an engaged and collaborative ITC function.
We believe that creating a team that values diversity and fosters inclusion is essential to great performance. We know the best ideas come from diversity of thought, background, perspective, culture, gender, race, age and many other elements. We welcome candidates from all backgrounds and particularly from communities currently under-represented within our industry . We treat everyone with respect and foster safe and inclusive environments.
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And w ithin a respectful and inspirational environment, we value what you say and do.
**How to Apply:**
**Interested in our opportunity?**
**Yes** - then simply submit your application online. Your application will be reviewed by one of our expert recruiters who'll then respond advising you of the outcome and next steps for successful candidates.
**Possibly, I'd like to find out more** - then connect direct with talentenquiries@uk.ngc.com , where one of our recruitment business partners will be happy to support you with any enquires.
Background checks and potentially security clearance form part of the recruitment process, our team will inform you of the procedures when required.
**Northrop Grumman UK:**
Work with a global brand that makes a real contribution to our nation's security and future. At Northrop Grumman UK, the brightest minds come together to push the boundaries and Define Possible. As leaders in the digital transformation of Aerospace, Defence and Intelligence we are providing ground-breaking outcomes for our customers.
**UK Cyber & Intelligence Business:**
Our UK Cyber & Intelligence business combines modern software development approaches with a rich heritage and experience in the Defence and security sectors. Our customers have complex and sensitive data and information requirements requiring a mission partner who quickly understands the context, delivering and sustaining a portfolio of challenging technology projects at scale and pace, supporting them through an ambitious digital transformation programme.
**UK Defence Business:**
Our UK Defence business is a Sovereign software and systems centre of excellence. As well as developing and supporting UK wide and internationally deployed multi-domain command and control systems, our work is critical to the modern backbone of the Royal Navy. We pioneer - with fierce curiosity, dedication, and innovation, we seek to solve the world's most challenging problems.
**Find out more** : https://www.northropgrumman.com/careers/job-search-united-kingdom/
\#LI-CC1
\#LI-HYBRID
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.New Malden, GBRR10146544Senior Trade Compliance OfficerNoneFF94A86D34AA41FAB8E56958D66F148Bhttp://northropgrumman-veterans.jobs/FF94A86D34AA41FAB8E56958D66F148B23LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems (NGMS) Advanced Capabilities division is leading the development of the next generation of high-performance computing. Superconducting electronics forms the core of our technology, with a focus on energy-efficient computation using our patented superconducting digital logic technology, Reciprocal Quantum Logic (RQL). On a gate-for-gate basis, RQL consumes orders of magnitude less power than CMOS while running at significantly higher clock speeds.
The Advanced Capabilities division is seeking experienced RF Engineers to join our team and develop these technologies into high-performance computing systems. You'll work in a fast-paced team environment alongside physicists, design engineers, and superconducting foundry engineers to make these technologies a reality.
**How you will contribute to the Mission:**
+ Designing, modeling, and verifying RF, microwave, and mixed signal subsystem assemblies
+ Support verification efforts for RF, Analog, and Digital hardware designs
+ Collaborating with peer functions such as Mechanical, Manufacturing, Test, and IC design Engineers
+ Deriving and trading requirements with subsystem engineers and component level designers
+ Working directly with component level design peers developing custom RF integrated circuit designs to meet assembly-level requirements
This position will serve on-site in Linthicum, MD or Annapolis Junction, MD.
This position will be filled at either the Principal RF Engineer or Sr. Principal RF Engineer level based on the qualifications below.
**Basic Qualifications for the Principal RF Engineer Level:**
+ Bachelor's degree in Electrical Engineering or related STEM degree and 5 years of relevant work experience required. Master's degree and 3 years of experience
+ Working knowledge of an EM simulation tool (HFSS, CST Studio, Sonnet, ADS, HSPICE, SI-Wave, Sigrity)
+ Experience working with software programing languages
+ Prior experience working with electronics, mechanical requirements, and schematic drawings
+ Hands on experience utilizing test instrumentation such and power supplies, network analyzers, Oscilloscopes, spectrum analyzers, High speed digital IO
+ Ability to obtain and maintain a U.S. Top Secret/SCI with Poly Security Clearance for which US Citizenship is a requirement
**Basic Qualifications for the Sr. Principal RF Engineer Level:**
+ Bachelor's degree in Electrical Engineering or related STEM degree and 9 years of relevant work experience required. Master's degree and 7 years of experience
+ Working knowledge of an EM simulation tool (HFSS, CST Studio, Sonnet, ADS, HSPICE, SI-Wave, Sigrity)
+ Experience working with software programing languages
+ Prior experience working with electronics, mechanical requirements, and schematic drawings
+ Hands on experience utilizing test instrumentation such and power supplies, network analyzers, Oscilloscopes, spectrum analyzers, High speed digital IO
+ Ability to obtain and maintain a U.S. Top Secret/SCI with Poly Security Clearance for which US Citizenship is a requirement
**Preferred Qualifications:**
+ Well versed in working with Ansys HFSS modeling for RF packaging effects
+ Experience with spectrum and network analyzer techniques for EMC measurements
+ Prior experience with packaging technologies ( e.g. ceramic and laminate chip-level packages, printed circuit boards, interposers, wire bonding, etc.)
+ Experience with Cryogenic test stands, superconductivity and mechanical CTE
+ Active U.S. Top Secret/SCI with Poly highly preferred
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10097853MarylandMDPrincipal RF Engineer / Sr. Principal RF EngineerNone31FB42CCBCA64CBAAA21841E76659E8Fhttp://northropgrumman-veterans.jobs/31FB42CCBCA64CBAAA21841E76659E8F23GoletaNorthrop GrummanUnited StatesUSA2024-03-27 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
An organization within Northrop Grumman's Payload and Ground Systems (PGS) division, Mission Enabling Products (MEP is a leading designer, producer, and supplier of spacecraft components that power and enable satellites of all classes. Products include spacecraft panels, bus structures, precision optical structures, deployable structural systems and mechanisms, solar arrays, and antenna reflectors. Our products are on virtually every U.S. satellite built in the last 20 years. **Learn more about Spacecraft Components at our website:** https://www.northropgrumman.com/space/spacecraft-components/ .
**Our Deployables Operating Unit is hiring for either a Manufacturing Engineer (Level 2) or a Principal Manufacturing Engineer (Level 3). The selected candidate will support our Space Components division. This position will be located in Goleta, CA.**
**Core Responsibilities:**
- Develops manufacturing processes, procedures, and production layouts for assembly of spacecraft deployable mechanisms.
- Designs sequence of operations and specifies procedures for the fabrication of tools and equipment and other functions that affect product performance.
- May incorporate inspection and test requirements into the production plan.
- Inspects performance of machinery, equipment, and tools to verify their efficiency, and investigates and initiates corrective action of problems and deficiencies to ensure product quality.
- Provides guidance to engineering regarding design concepts and specification requirements to best utilize equipment and manufacturing techniques.
- Ensures processes and procedures are in compliance with regulations and best-practices.
- This requisition may be filled at either a Manufacturing Engineer (Level 2) or a Principal Manufacturing Engineer (Level 3) grade based on the qualifications listed below.
**Basic Qualifications for Manufacturing Engineer (Level 2):**
- 2 years of relevant experience with a Bachelor's degree; 0 years of relevant experience with a Masters' degree; an additional 4 years of experience may be considered in lieu of degree.
- Experience resolving productivity or quality issues in a manufacturing or development environment.
- Ability to read and understand engineering drawings.
- Understanding of Design for Manufacturability (DFM).
- Ability to work as part of a cross-functional team throughout program lifecycle.
**Basic Qualifications for Principal Manufacturing Engineer (Level 3):**
- 5 years of relevant experience with a Bachelor's degree; 3 years of relevant experience with a Masters' degree; 0 years of relevant experience with a PhD; an additional 4 years of experience may be considered in lieu of degree.
- Experience resolving productivity or quality issues in a manufacturing or development environment.
- Ability to read and understand engineering drawings.
- Understanding of Design for Manufacturability (DFM).
- Ability to lead a cross-functional team during assembly and test phases of program.
**Preferred Qualifications:**
- Manufacturing or Systems Engineering experience with spaceflight hardware.
- Experience with Root Cause & Corrective Actions (RCCA) and Failure Modes & Effects Analysis (FMEA)
- Technical leadership of complex projects.
- GD&T Geometric Dimension & Tolerancing, also known by ASME y14.5
- Experience with process innovation.
- Experience with MES (Manufacturing Execution System).
**Salary Range:** $77,100 - $115,700
**Salary Range 2:** $95,000 - $142,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Goleta, CAR10128589CaliforniaCA(2nd Shift) Manufacturing Engineer / Principal Manufacturing Engineer - Space ComponentsNone3BBB473962944044B63F0E084EC9A098http://northropgrumman-veterans.jobs/3BBB473962944044B63F0E084EC9A09823RoyNorthrop GrummanUnited StatesUSA2024-03-27 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
Northrop Grumman Space Systems (NGSP), Strategic Deterrent Systems Division Strategy, Business and Corporate Development organization is seeking a full-time experienced Proposal Manager 2 to join our **Division** **Proposal and Development Operations** team of qualified diverse individuals. This position is located in Roy, UT. Flexible/hybrid work arrangement possible and will vary based on proposal assignments. The selected candidate must be willing and able to travel, as needed (up to 25%). Active Secret required to start.
As a Proposal Manager, you will apply proven proposal planning and execution strategies and processes to lead teams across the sector to develop successful, compliant, compelling, and on time proposal submissions. The proposals you will work on include top Priority Wins and other major competitive and sole source pursuits. Candidates for this position will demonstrate a broad skill set that is balanced across leadership, collaboration, innovation, communication, project management, risk management, and proposal-based capabilities. Responsibilities include, but are not limited to:
+ Collaborate with the Capture Manager and core team on proposal execution approach, team staffing, and roles and responsibilities
+ Develop efficient and effective proposal execution plans in response to complex solicitations from U.S. Government and other customers
+ Lead collaborative, engaged teams to develop, review, and submit proposals that effectively represent our strategy and baseline while eliminating non-compliances and limiting weaknesses
+ Ensure adherence to schedule, and continually manage risk to address volatility in proposal requirements, deadlines, and our offering
+ Assignment Manager responsible for mentoring, coaching, and training Proposal team members. Will also manage the division proposal operations functional homeroom.
+ Team player with strong interpersonal, written, and verbal communication skills; ability to present concepts and recommendations to all levels within the organization.
**Basic Qualifications**
+ Bachelor's degree with 7+ years of experience working on competitive proposals for U.S. Government customers, including 5+ years in a proposal management role
+ Proposal Manager experience leading competitive proposals of $250M in value or greater
+ At least 1 year of experience supporting capture activities for a priority win pursuit prior to the start of proposal planning
+ Experience training, leading, and directing activities of team members through execution of a formal proposal process
+ Knowledge and understanding of the U.S. Government's acquisition process including federal procurement regulations (FAR/DFAR)
+ Excellent oral and written communication skills and a keen attention to detail
+ Active Secret security clearance
**Preferred Qualifications**
+ 10+ years of experience working on competitive proposals for U.S. Government customers
+ 7+ years of experience in a proposal management role
+ Proposal Manager experience leading sole source/competitive proposals of $500M in value or greater
+ Experience with U.S. space systems related customers and proposals
+ Active TS security clearance
**Salary Range:** $131,200 - $196,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10145030UtahUTProposal Manager 2 (11945)None49022194280E4C5DA1435BED710099EAhttp://northropgrumman-veterans.jobs/49022194280E4C5DA1435BED710099EA23Redondo BeachNorthrop GrummanUnited StatesUSA2024-03-27 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Description
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
Northrop Grumman Aeronautics Systems is seeking a **Level 3/Level 4 Sr. Principal Contracts Administrator** to join our Research & Advanced Design (R&AD) division. This position will be located in Redondo Beach, CA and requires the ability to work on-site daily. This position offers the 9/80 schedule with potential for hybrid periodically.
The Contracts Administrator will participate in contract proposal preparation, contract negotiations, contract administration, and customer contract activities to manage assigned contracts in accordance with company policies, legal requirements and customer specifications. As primary interface with customer, the Contracts Administrator will ensure that contractual obligations are communicated and understood, ensure fulfillment of contract requirements, manage contract changes, be proactive in administering each contract and maintain historical information. Our team is highly driven to learn, grow and be a part of the future of Aeronautics vehicles, all the while focused on knowledge sharing and collaboration within our team and internal program teams.
Associated responsibilities include:
+ This role will have significant visibility within high visibility programs, including some Division and Sector submittals and reviews and must manage tight deadlines in a complex environment. This individual must have the ability to meet high performance expectations in a very dynamic environment. We're looking for someone is excited and curious about learning, growing and contributing the Defining Possible here in R&AD Contracts team. Excellent communication is a must as the selected candidate will be directly involved in negotiations with the customer as a strategic team member. Our team is looking for someone who wants to grow and get experience with different contract types.
+ Reviewing terms and conditions to address provisions and risks of financial terms, acceptance criteria, delivery requirements, warranty, intellectual property, termination provisions, indemnification and all other potential risk areas and recommending risk mitigation strategies.
+ Under general supervision, developing solutions to both routine and complex contractual matters to ensure company compliance with contract requirements on assigned programs.
+ Assisting management in achieving financial goals related to cash management and sales.
+ Preparing written communications with internal and external customers for assigned contractual matters.
+ Maintaining contract data into Contract Management System and/or Enterprise Accounting System.
+ Ensuring timely delivery of all contractual deliverables and submission of invoices.
**Basic Qualifications for a Level 3 Principal Contracts Administrator:**
+ Bachelor's degree with 6 years of experience in contracts or related field - OR - a Master's degree with 4 years of experience in contracts or related field.
+ Active DoD Secret Clearance, awarded/reviewed within the last 5 years
+ Working knowledge of FAR/DFARS and/or government regulations
+ Working knowledge of US Government contracting
+ Proficiency with Microsoft Office Suite, (specifically Excel and PowerPoint) and Adobe Acrobat
+ US Citizenship
+ Aerospace/Defense Industry Experience
**Basic Qualifications** **for the Level 4 Principal Contract Administrator:**
+ Bachelor's degree with 10 years of experience or a Master's degree with 8 years of experience in contracts management /administration and/or industry related experience
+ Working knowledge of FAR/DFARS and/or government regulations
+ Working knowledge of US Government contracting
+ Active DoD Secret Clearance, awarded/reviewed within the last 5 years with ability to obtain/maintain program access
+ Proficiency with Microsoft Office Suite, (specifically Excel and PowerPoint) and Adobe Acrobat
+ US Citizenship
+ Aerospace/Defense Industry experience
Preferred Qualifications:
+ Law, business, or relevant advanced degree
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly inclusive environment! We are looking for self-motivated, proactive, team focused and goal-oriented people to help us grow our services and become even better at what we do.
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees! Does this sound like what you're looking for?
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Salary Range:** $88,700 - $133,100
**Salary Range 2:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Redondo Beach, CAR10144261CaliforniaCALevel 3/Level 4 Sr. Principal Contract Administrator with Active Secret ClearanceNone4AB84C0D126A4FA1AB81CEF43BAB92FBhttp://northropgrumman-veterans.jobs/4AB84C0D126A4FA1AB81CEF43BAB92FB23DullesNorthrop GrummanUnited StatesUSA2024-03-27 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking an Earned Value Management (EVM) Surveillance, Implementation, and Training Manager 2 to join our team of qualified, diverse individuals. This position will be located in Dulles, VA.
The EVM Surveillance, Implementation, and Training Manager is responsible for supporting and enabling multi-disciplinary project teams in a high growth, evolving business with the successful execution of complex, multi-year advanced technology flight hardware and software projects. As part of the EVM organization, provides a primary interface between Program Business Operations and Program Teams to guide the implementation, surveillance, and execution of compliant EVMS processes, tools and practices within the Division in support of Contract and Company requirements across multiple contract types.
**Roles and Responsibilities include, but are not limited to, the following:**
+ In collaboration with Business and Program Management, provide program startup and business process implementation guidance, expertise and leadership through active participation in team training, program baseline development and Integrated Baseline Review (IBR) preparation.
+ Supporting program Business Management with the development and implementation of the program business rhythm and processes to include integrated program reporting and variance analysis for explaining and interpreting impact of results against program baseline plans/forecast and facilitate the tracking and implementation of corrective/preventative actions.
+ Providing mentoring and leadership to enable the implementation of an EIA-748 compliant earned value management system on applicable contracts.
+ Supporting Program Teams in preparing for and participating in external Integrated Baseline Reviews and follow-up surveillance and audits. Support Executability Reviews during proposal phase and Start-up Reviews after program award.
+ Leading independent Internal Surveillance Reviews including artifact reviews, CAM interviews, root cause analysis process support, and corrective action closure tracking. Driving routine cost & schedule health reviews via monthly DECM monitoring and periodic EVM process engagements.
+ Supporting EVM execution at the Division level through development and delivery of periodic training to program teams and Division personnel.
+ Supporting sector-level training initiatives and development and deployment of standardized processed and training material.
+ Establishing and training Agile practices across Division in conjunction with Program Excellence, Engineering and Agile coaching organizations.
+ Supporting the development of common templates, documentation and methods for standardized reporting of program EVMS status to project management as well as internal management.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Basic Qualifications:**
+ Bachelor's degree with 10+ years of related experience - OR - a Master's degree with 8+ years of related experience. Additional years of experience accepted in lieu of a degree.
+ Experience in a lead or supervisory role.
+ Experience in the deployment and execution of EIA-748 compliant program management techniques, including EVMS, baseline planning and scheduling, forecasting and estimating, and cost/schedule integration techniques, including subcontractor integration.
+ Experience in the development and maintenance of process documentation and training material, and delivery of training to small teams and/or larger groups.
+ Working knowledge of EIA-748, the EVMS Interpretation Guide and the Integrated Program Management Report Data Item or similar DIDs, and familiarity with DCMA data-driven surveillance methods.
+ Ability to obtain a DoD Top Secret Clearance. US Citizenship is a prerequisite.
**Preferred Qualifications:**
+ Active DoD Top Secret clearance. US Citizenship is a prerequisite.
+ Program Management and/or Earned Value Management Certification.
+ Experience with industry standard accounting, project scheduling and cost management software tools such as Cobra, Open Plan, MS Project, Acumen, Costpoint, and/or Cognos.
+ Experience leading Integrated Baseline Review training.
+ Experience with supporting program surveillance reviews and oversight.
+ Experience with Integration of major subcontractors with compliant earned value systems requirements and Integrated Program Management Report deliverables.
+ Experience managing/performing the development and maintenance of detailed project schedules, analysis of schedule critical and driving paths, program management reports, identification of inconsistencies, risk management, evaluation of program impacts, and implementation of corrective action plans.
**Salary Range:** $119,300 - $207,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Dulles, VAR10152021VirginiaVAEVM Surveillance Implementation and Training Manager 2- Dulles VA or Gilbert AZNone6292F6F730CA4772B9623ED0489863E9http://northropgrumman-veterans.jobs/6292F6F730CA4772B9623ED0489863E923LinthicumNorthrop GrummanUnited StatesUSA2024-03-27 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman CIO is seeking a well-qualified **Linux Systems Administrator** to join its dynamic team of technical professionals in L **inthicum, MD** . The selected candidate will be required to work on-site, full-time, at our Linthicum, MD campus; this is not a remote position .
Roles and responsibilities will include but not be limited to the following:
+ Perform as a Linux Systems Administrator on large, classified government contracts.
+ Manage Red Hat systems to include enterprise Linux servers, workstations, and applications.
+ Communicate effectively at all levels of the organization, with internal and external customers, in written and verbal format.
+ Maintain smooth operation of multi-user computer systems, including coordination with network, software, and system engineers, PC desktop technicians, project managers, end users, and customer and IT management.
+ Support multiple networks.
+ Ensure necessary administration tasks are completed and direct others as necessary.
+ Analyze internal and external customer requirements and determine equipment and software requirements for solutions to problems by means of automated systems.
+ Recommend and implement system enhancements that will improve the performance and reliability of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Provide backup and recovery services, manage file systems, and disk space, and manage virus protection on a routine basis.
+ Create and maintain user and computer accounts and modify file permissions and security access lists.
+ Test new releases of products to ensure compatibility and minimize user impact.
+ Develop and document technical processes and procedures as needed.
+ Make recommendations to purchase hardware, software, and system components.
+ Interact, meet, discuss, and troubleshoot issues with vendors, and evaluate vendor products, services, and suggestions.
+ Adhere to strict Information Systems security guidelines in all cases.
+ Maintain security audit and logging information on all classified networked and standalone computers as directed by the Information Systems Security Manager (ISSM).
+ Prepare security documentation for Cyber Security team.
+ Report project status as required for all recurring and non-recurring efforts.
+ Work under minimal direction and independently determine and develop approach to solutions.
**Basic Qualifications:**
+ Associate degree and 11 years of experience, or B achelor's degree and 9 years of experience, or Master's degree and 7 years of experience; a High School diploma or equivalent with 13 years of experience may be considered in lieu of a completed degree.
+ Active DoD Top Secret/SCI security clearance with polygraph required on Day 1 of employment; maintaining the required security clearance will be a condition of continued employment.
+ Must have the ability to obtain and maintain access to the program as a condition of continued employment.
+ The selected candidate may be required to obtain and maintain a Special Access Program (SAP/SAR) clearance (if required by the customer) within 365 days of required paperwork submission as a condition of continued employment.
+ Current IAT Level II certification (ex: Security+ CE) certification is required on Day 1; maintaining the required certification will be a condition of continued employment.
+ Experience installing, configuring, and maintaining computer hardware in a networked environment.
+ Ability to scan then patch and harden Linux systems following security guidelines.
+ Ability to support and troubleshot remote nodes.
+ Experience managing RedHat Linux server environment.
+ Experience patching RedHat Linux.
+ Experience hardening RedHat Linux.
+ Experience with kickstart installations.
+ Ability to lift equipment weighing up to 40 pounds.
+ Ability to work after hours and weekends as needed.
+ Experience giving technical presentations to technical and non-technical attendees.
**Preferred Qualifications** :
+ Experience working in an HPC environment.
+ Red Hat System Administration certification(s)
+ Experience with NAS storage device (preferably NetApp) administration (ONTAP 8.x or higher; CDOT).
+ Solid understanding of Enterprise-level storage concepts to include all commonly used RAID-levels.
+ Red Hat Satellite Server (version 5 and version 6) configuration and usage experience.
+ Solid understanding of remediating security vulnerabilities; Experience hardening Red hat systems in accordance with RMF and STIGs.
+ Active vendor-based IT certifications.
ESSysAdmin
\#LI-COR
**Salary Range:** $115,400 - $173,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Linthicum, MDR10124670MarylandMDSr. Principal Linux Systems AdministratorNone71AC6E5CB436440AB2C1EB9CCF02D862http://northropgrumman-veterans.jobs/71AC6E5CB436440AB2C1EB9CCF02D86223Fort CarsonNorthrop GrummanUnited StatesUSA2024-03-27 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems sector (NGDS) is seeking a **Principal Intelligence Electronic Warfare Tactical Proficiency Trainer (IEWTPT)** to join our growing team of diverse professionals at Fort Carson in Colorado.
**Responsibilities:**
+ Leverages a comprehensive understanding and application of principles, practices, and industry standards of mission command training and training support
+ Demonstrates the skill and ability to independently perform complex professional tasks and solves complex problems
+ Develops approaches to solutions and independently makes decisions that do not result in serious program delays or considerable expenditure of resources
+ Provides consulting expertise to military and defense clients in the development and evaluation of military training and participates in determining unit objectives
+ Represents the IEWTPT team daily as a prime contact and interacts with senior internal and external partners on significant matters often requiring coordination between multiple stakeholders
+ Develops and delivers presentations
+ Supports the planning and executing of collective intelligence training to include integrating intelligence systems (Technical Control Cell [TCC], Intelligence Low Overhead Driver, WARSIM Intelligence Module, and DCGS-A) to maximize training value
+ Provides expertise in the development, execution, and assessment of tailored and dynamic simulation stimulated training scenarios and preparatory events
+ Uses working knowledge of Army Mission Command Information Systems (MCIS), the collaborative estimate and doctrinally based, innovative decision support products they enable, and their integration within and among command posts
+ Provides introductory, pre-exercise, over the shoulder, and guided instruction to leaders and their Soldiers
**Basic Qualifications** :
+ One of the following:
+ Bachelor's degree with a minimum of 6 years of experience as an All-Source Intelligence analyst or primary staff member at the Brigade, Division or Corps level
+ Master's degree with a minimum of 4 years of experience as an All-Source Intelligence analyst or primary staff member at the Brigade, Division or Corps level
+ Served as a Brigade level or higher S2/G2, or Divisional or higher-level ACE Chief, or as a division level all-source intelligence warrant officer or senior NCO or Division or Corps level G2 operations officer and/or a CTC Observer/Controller and have prior experience as a military trainer
+ Must have an active U.S. Department of Defense (DoD) Top Secret Sensitive Compartmented Information (TS/SCI) security clearance
+ Capable of obtaining a CI-scope polygraph (CSP) and completing all mandatory oversight training. Training includes: OVSC1000: NSA/CSS Intelligence Oversight Training, OVSC1100: Overview of Signals Intelligence Authorities and OVSC1800: USSID SP0018 Training
+ Must have experience working in the Intelligence Warfighting Function to include all-source intelligence and information collection management operations, and a general understanding of connectivity and capabilities of automated Military Intelligence Systems.
+ Capable of obtaining Secret Internet Protocol Router Network (SIPRNET) and Joint Worldwide Intelligence Communication System (JWICS) accounts within a 90-day period and must actively maintain these accounts
+ DoD IAT level I (A+, NET+)
+ Must be able to travel as needed (up to 10% of the time)
+ Must be able to work on-site at Fort Carson in Colorado
**Preferred Qualifications:**
+ Possesses a National Security Agency Network (NSAnet) account
+ Possesses a current CI-scope polygraph (CSP) and has completed all mandatory oversight training. Training includes: OVSC1000: NSA/CSS Intelligence Oversight Training, OVSC1100: Overview of Signals Intelligence Authorities and OVSC1800: USSID SP0018 Training
**Salary Range:** $71,400 - $107,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fort Carson, COR10140478ColoradoCOPrincipal Intelligence Electronic Warfare Tactical Proficiency Trainer (IEWTPT)None75F0B41AD9BC425AA71716EFDBFDFAB3http://northropgrumman-veterans.jobs/75F0B41AD9BC425AA71716EFDBFDFAB323Annapolis JunctionNorthrop GrummanUnited StatesUSA2024-03-27 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems' Microelectronics Center (NGMC) has an immediate opening for a Senior Manager of Capture. This is an onsite position and reports to Annapolis Junction, Maryland.
This position plays a critical role driving the Center's long-term growth in a specialized domestic market. The selected candidate will be responsible for leading capture for a dynamic growth mission, and will work closely with the Strategy & Growth Director, a broader support team of subject matter experts from the NGMC organization, external consultants, and Northrop Grumman sector and corporate business development resources to ensure achievement of near and long-term strategic growth objectives for the Center. The candidate must have demonstrated experience successfully leading large captures, to include extensive experience with customer engagement, strategy development, resource planning, and internal acquisition processes. The successful candidate will have demonstrated experience leading diverse teams, be a strong communicator, and be able to work successfully within a highly classified arena. The candidate will collaborate closely with business execution leadership at the Operating Unit (OU), Center, and sector, and several business functions (e.g., business management, contracts, global supply chain, security, etc.).
Primary Roles and Responsibilities Include:
+ Exceptional leadership, collaboration, and team building skills.
+ Develop capture strategy and tactics to produce capture products such as competitive assessments, teaming strategies, and prices-to-win analysis results applied to improve competitive posture.
+ Develop clear and concise Win Themes and Value Propositions for the capture.
+ Understand the customer marketplace, stakeholder customer organizations and budgets to identify market drivers and trends and develop strategies.
+ Maintain relationships with internal stakeholders and functional organizations involved in program capture.
+ Develop and maintain strong relationships with teaming partners and industry associations to help drive partnerships.
+ Coordinate and collaborate with line of business management, strategy, business and finance, contracts and global supply chain, technology and engineering offices and functions.
+ Development and management of CAPEX and NCTA investment capture project funds, schedule, and execution.
+ Leading the securing of RFPs, preparation of proposals, negotiating and definitizing contracts.
+ Guiding proposal development including managing process, writing proposal responses and developing pricing to deliver compelling and compliant proposals.
+ Meeting all security, organizational conflict of interest, export control, protection of proprietary information, and risk and opportunity management processes, as appropriate.
+ Excellent communication skills and ability to effectively interact with the customer, program management, business unit and supply base executives and functional management.
+ Lead with thought leadership emphasis in the development and execution of franchise and individual capture strategies.
+ Support the fiscal management of capture budgets, to include resource prioritization.
+ Develop, implement, and manage processes and strategies that results in an increased capture PWin and improved win rate.
+ Experience is leading capture teams and their leveraging of Customer Relationships Management (CRM) tools (e.g., Salesforce) while following the process standards of the Northrop Grumman Business Acquisition Process (BAP)
**Basic Qualifications:**
+ Demonstrated large-scale capture leadership experience.
+ Bachelor's Degree with a minimum of 15 years of Department of Defense (DoD) industry experience.
+ 15 years of management experience in Functional Management, Engineering Management, Operations Management, and/or Program Management.
+ Demonstrated people leadership skills and the ability to lead effectively in a diverse team environment.
+ Proven ability to provide independent critical assessments, that ultimately result in better outcomes for the enterprise.
+ Demonstrated ability to lead multi-disciplinary business development, strategy development activities.
+ Active DoD top secret clearance (TS/SCI w/ full scope poly). ***Applicants not in possession of the appropriate clearance will not be considered and need not apply.**
**Preferred Qualifications:**
+ Technical and/or Leadership/Management-focused master's degree
+ 15 years of industry experience in capture leadership, business development, and/or program management
+ Direct capture experience within the Intelligence domain
+ Experience w/ Agile for Business tools such as Jira (Kanban) and Confluence
**Salary Range:** $180,600 - $271,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Annapolis Junction, MDR10146284MarylandMDManager Capture Management 3None9C10C663A3FD40109D1494F1900334E4http://northropgrumman-veterans.jobs/9C10C663A3FD40109D1494F1900334E423Annapolis JunctionNorthrop GrummanUnited StatesUSA2024-03-27 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems' Microelectronics Center (NGMC) has an immediate opening for a Business Development Manager to support Competitive Assessment. This is an onsite (non-remote) position and reports to Annapolis Junction, Maryland.
This position plays a critical role driving the Center's long-term growth in a specialized domestic market. The selected candidate will be responsible for leading competitive assessment for a dynamic Growth organization, and will work closely with the Strategy & Growth Director, a broader support team of subject matter experts from the NGMC organization, external consultants, and Northrop Grumman sector and corporate business development resources to build and deliver a holistic competitive profile for a specific technical domain. The successful candidate will be an action-oriented, collaborative, and detailed-oriented self-starter who demonstrates the ability successfully leverage and apply applicable experience in competitive analysis and technical project management to this dynamic and evolving role.
Primary Roles and Responsibilities Include:
+ Gather data on competitors and analyzes their strategies, prices, sales, and method of operation.
+ Collect and maintain information on the requirements, organization, budget, and preferences of prospective customers and competitors.
+ Organize information to assist in analyzing future products and makes recommendations for the development of new products or services.
+ Research market conditions in assigned local, regional, or national areas to determine potential sales of a product or service.
+ Perform analyses and provide recommendations related to market size, industry penetration, competitor assessments, and marketing practices and trends.
+ Maintain current situational awareness re developments in the government, military, and economic, scientific, political, industrial, and international fields as they apply to the organization's future marketing plans.
+ Organizational Agile for Business (A4B) process implementation and management
+ Coordination and collaboration with line of business management, strategy, business and finance, contracts and global supply chain, technology and engineering offices and functions
+ Internal NG Business Acquisition Process (BAP) management and coordination
**Basic Qualifications:**
+ 10+ years of experience providing business, program, and/or technical support to Department of Defense (DoD) customers
+ Business development, strategy, capture, or program management experience
+ Knowledge of DoD acquisition, requirements, and budget policies and processes
+ Active DoD top secret clearance (TS/SCI w/ full scope poly) ***Applicants not in possession of the proper clearance will not be considered and need not apply.**
**Preferred Qualifications:**
+ Direct program and operational experience within the Intelligence domain
+ Experience performing competitive assessment for large growth organizations
+ Experience w/ Agile for Business tools such as Jira (Kanban) and Confluence
**Salary Range:** $164,300 - $246,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Annapolis Junction, MDR10146475MarylandMDManager Business Development 3NoneA0FD173ADD524D7FAA0ACBD1E5BE8521http://northropgrumman-veterans.jobs/A0FD173ADD524D7FAA0ACBD1E5BE852123RoyNorthrop GrummanUnited StatesUSA2024-03-27 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is seeking a **Contracts Professional level 3 (Principal Contracts Administrator) or Contracts Professional level 4 (Sr. Principal Contracts Administrator)** to join its team of qualified and diverse individuals supporting its Strategic Deterrent Systems Division. The Strategic Deterrent Systems Division reports directly to the Northrop Grumman Corporate Office.
The successful candidate will support the high-profile Sentinel Program (otherwise known as Ground Based Strategic Deterrent, or GBSD), and work closely on a day-to-day basis with Managers, Directors, Vice Presidents, and rocket scientists! Sentinel is in the development stage of modernizing the ground-based leg of the strategic nuclear triad, which is the bedrock of U.S. national security. At a current contract value of $13.3B, Sentinel represents one of the largest and most high-profile Northrop Grumman programs, with countless career and growth opportunities.
Please see links below to learn more about the nuclear triad and the Sentinel program:
+ About Sentinel (GBSD) - Northrop Grumman
+ Sentinel - The Ground Based Strategic Deterrent - Northrop Grumman
+ Sentinel ICBM (LGM-35A) (af.mil)
+ America's Nuclear Triad (defense.gov)
This position requires an understanding of DOD contracting, excellent communication skills, strong technology acumen in M365, as well as interpersonal and organizational skills. The ideal candidate is a team player; but also, a strong independent contributor with strong leadership skills, demonstrated problem-solving skills, and a proactive, detail-oriented approach.
**The preferred location for this position is in Roy, UT, at the Roy Innovation Center Campus , and relocation assistance may be available.**
**The secondary preferred locations are:** Colorado Springs, CO; Huntsville, AL, Chandler; AZ.
**Other locations including the following may also be considered:** Rolling Meadows, IL; Baltimore, MD; Dulles, VA; San Diego, CA; Bellevue, NE and Redondo Beach, CA - Space Park.
The Contracts Professional responsibilities include, but are not limited to:
+ Act as primary interface with customer for contractual issues; Monitor contract and associated program to ensure that contractual obligations are communicated and understood.
+ Participate in contract proposal preparation, contract negotiations, contract administration, and customer contract activities to manage assigned contracts in accordance with company policies, legal requirements, and customer specifications.
+ Work under general supervision to develop solutions to both routine and complex contractual matters to ensure company compliance with contract requirements on assigned programs.
+ Ensure fulfillment of contract requirements, manage contract changes, and maintain historical information.
+ Review terms and conditions to address provisions and risks of financial terms, acceptance criteria, delivery requirements, warranty, intellectual property, termination provisions, indemnification and all other potential risk areas and recommend risk mitigation strategies.
+ Prepare and manage written communications with internal and external customers for assigned contractual matters.
+ Enter and maintain contract data into Contract Management System and/or Enterprise Accounting System.
+ Ensure timely delivery of all contractual deliverables and submission of invoices
**Basic Qualifications (Contracts Professional Level 3):**
+ Bachelor's degree from an accredited university with 6 years in Contracts, Subcontracts, or Procurement Administration, or related professional experience - OR - a Master's degree with 4 years in Contracts, Subcontracts, or Procurement Administration, or related professional experience. An additional 4 years of experience accepted in lieu of a degree.
+ Knowledge and understanding of pricing principles and FAR/DFARS as well as associated Federal Contracting regulations.
+ Ability to obtain and maintain a Secret level security clearance. US Citizenship is a prerequisite.
**Basic Qualifications (Contracts Professional Level 4):**
+ Bachelor's degree from an accredited university with 10 years in Contracts, Subcontracts, or Procurement Administration, or related professional experience - OR - a Master's degree with 8 years in Contracts, Subcontracts, or Procurement Administration, or related professional experience. An additional 4 years of experience accepted in lieu of a degree.
+ Knowledge and understanding of pricing principles and FAR/DFARS as well as associated Federal Contracting regulations.
+ Ability to obtain and maintain a Secret level security clearance. US Citizenship is a prerequisite.
**Preferred Qualifications:**
+ Familiarity with Northrop Grumman Contracts processes and procedures.
+ Active DoD Secret or Top-Secret security clearance.
+ Extensive proposal experience.
+ NCMA Certification (CPCM, CFCM and/or CCCM).
**Salary Range:** $76,600 - $133,100
**Salary Range 2:** $95,000 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Roy, UTR10150394UtahUTPrincipal/Sr Principal Contracts Administrator L3/L4 (Sentinel) - (12302)NoneB3EA99CA3E134A49817FBFE237E54120http://northropgrumman-veterans.jobs/B3EA99CA3E134A49817FBFE237E5412023DullesNorthrop GrummanUnited StatesUSA2024-03-27 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**NG- Military Internship Program Description:**
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military Internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members **.**
**Responsibilities for this internship position are:**
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for SkillBridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
+ **Goals** - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
+ **Objectives** - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
+ **Outcome** - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
+ Has served at least 180 days on active duty
+ Is within 12 months of separation or retirement
+ Will receive an honorable discharge
+ Has taken any service TAPS/TGPS
+ Has attended or participated in an ethics brief within the last 12 months
+ Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
**Job Description** :
**Northrop Grumman Enterprise Services** is seeking a well-qualified **Windows Systems Administrator** to join our qualified, diverse, and dynamic team of technical professionals. This position is located in the **Dulles, VA** area.
**Roles and responsibilities include:**
+ Perform as a Windows System Administrator for a classified government contract.
+ Able to communicate effectively at all levels of the organization, with internal or external customers, in written and oral format.
+ Maintain smooth operation of multi-user computer systems, including coordination with network, software, and system engineers, PC desktop technicians, project managers, end users, and customer and IT management.
+ Able to support multiple networks. Ensure necessary administration tasks are completed, direct others as necessary.
+ Analyze internal or external customer requirements and determine equipment and software requirements for solutions to problems by means of automated systems.
+ Recommend and implement system enhancements that will improve the performance and reliability of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Provide backup and recovery services; manage file systems and disk space; manage virus protection on a routine basis.
+ Create and maintain user and computer accounts and modify file permissions and security access lists.
+ Test new releases of products to ensure compatibility and minimize user impact.
+ Develop and document technical processes and procedures as needed.
+ Make recommendations to purchase hardware, software, system components.
+ Interact, meet, discuss, and troubleshoot issues with vendors; evaluate vendor products, services, and suggestions.
+ Adhere to strict Information Systems security guidelines in all cases.
+ Maintain security audit and logging information on all classified networked and standalone computers as directed by the Information Systems Security Manager (ISSM).
+ Prepare security documentation for input to Computer Security.
+ Report project status as required for all recurring and non-recurring efforts.
+ Works under minimal direction and independently determines and develops approach to solutions.
The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, and collaborative/team settings across all levels.
**Basic Qualifications:**
+ Bachelor's degree and 2 years of related experience or 6 years of related experience in lieu of degree.
+ Must have a current Security + CE or ability to obtain within 6 months of start date
+ Must have the ability to lift equipment weighing up to 40 pounds.
+ Must have experience with Windows Server OS and Active Directory
+ Must have an Active, In-Scope DOD Top Secret Security Clearance that has been granted / renewed within the past 6 years to include SCI access level (TS/SCI)
+ Must have the ability to obtain and maintain special access programs (SAP/SAR).
+ US Citizenship is required
**Preferred Qualifications:**
+ Bachelors or Masters degree in IS related field
+ Experience operating under and managing systems within NISPOM Chapter 8, DCID 6/3-ICD 503, RMF, STIG, JAFAN, or JSIG information system environments
+ Experience installing, configuring, and maintaining computer hardware in a networked environment
+ Server management
+ Currently valid Security+ CE, CASP, CISSP or similar security certification
+ Windows domain architecture experience Understanding basic networking, including subnets, routing, and VLANs; Cisco experience and/or certification
+ Windows AD, LDAP, VMWare, and knowledge of SAN storage systems
+ Linux Operating System experience and/or certification for current versions
+ VMWare experience with current version
+ Knowledge of environmental monitoring tools (HBSS/Solar Winds/Splunk)
+ Rudimentary Scripting
+ Creation and deployment of system images in an enterprise environment
+ Experience with Certification & Accreditation process
+ DoD 8570 Certification in a primary OS (Windows, Linux, Cisco)
+ Currently Active Top Secret/SCI Clearance
ESCSO
ESMilIntern
ESWindowsAdmin
**Salary Range:** $77,100 - $115,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Dulles, VAR10104316VirginiaVANorthrop Grumman (DoD SkillBridge) - Windows Systems AdministratorNoneE4FCD8B3B3AD4CD484CACD502BBD64FDhttp://northropgrumman-veterans.jobs/E4FCD8B3B3AD4CD484CACD502BBD64FD23Rolling MeadowsNorthrop GrummanUnited StatesUSA2024-03-27 06:15:35At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems sector is seeking a Business Development Manager for fast jet market, focused on US Navy to join our team of qualified, diverse individuals. This position will be located in Rolling Meadows, IL or United States-Washington DC or United States-Maryland-Linthicum.
Reporting to the Fast Jet Director of Business Development within Strategy & Mission Solutions, the individual will lead efforts to identify, prioritize, develop and acquire navigation, targeting and survivability mission solution opportunities for the fast jet and advanced platform market arenas. Programs of interest focus on Navy mission systems opportunities to include new starts and development/mission expansion of existing programs. The individual selected will be responsible for the development and assessment of new mission systems opportunities and their integration and operation within target customers' operational requirements, and development and maturation of contact plans with customer organizations and influencers to develop new and expanded business opportunities. The individual is responsible for developing and implementing strategies for identifying and maturing initial capture targets, market/mission expansion within and among the US Allies, and effectively marketing the business unit's products and capabilities to meet customer needs, thereby translating business opportunities into contact plans, strategic win themes, and supporting capture teams and wins. The individual will work with and collaborate with a team of business developers and other integrated program team members including all required functions in the Northrop Grumman Corporation, primes, teammates and subcontractors to develop and implement win strategies, compliant with Northrop Grumman's business acquisition process.
Northrop Grumman Corporation is a leading global security company providing innovative systems, products, and solutions in aerospace, electronics, information systems and technical services to government and commercial customers worldwide.
**Qualifications**
**Basic Qualifications:**
+ Bachelors of Science degree with 10 years of experience with US Government/military systems, operations, acquisition programs. (8 years w/ Masters Degree)
+ Demonstrated direct or related experience in electronic warfare systems, EO/IR systems or tactical radars/weapons.
+ Current DOD Secret or TS clearance
+ Knowledge in interfacing with Navy and leadership/command structure
+ Candidate should have proven experience in the establishment of new customer relationships with the objective of identifying customer needs and technology roadmaps to establish new opportunities for market/business expansion.
+ Strong written and verbal communication skills
+ U.S. Citizenship is required.
**Preferred Qualifications:**
+ Masters of Science or MBA Degree.
+ Experience in requirements development, program management, and acquisition is desired.
+ General knowledge of fast jet systems/capabilities, and/or Northrop Grumman Navigation, Targeting and Survivability programs including EW, IRCM, targeting experience.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.
**Salary Range:** $156,800 - $235,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Rolling Meadows, ILR10143938IllinoisILManager Business Development 3NoneECF57AB5F0C54AB7AB65E359303F9AB9http://northropgrumman-veterans.jobs/ECF57AB5F0C54AB7AB65E359303F9AB923Annapolis JunctionNorthrop GrummanUnited StatesUSA2024-03-27 06:15:34At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Job Description
Northrop Grumman is hiring! Earn up to a $20,000 sign on bonus if you are hired against specific TS/SCI Polygraph positions.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators, who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we will support yours of expanding your personal network and developing skills, whether you are new to the field or an industry thought-leader. At Northrop Grumman, you will have the resources, support, and team to do some of the best work of your career.
The Sensing & Secured Solutions Teams are hiring! We are seeking an experienced Principal Java Developer to work as a member of an Agile team developing a high-visibility, mission critical component of a large system. The new team member will be working with DevOps, containerization, deployment to and operation on Linux, API development, requirements development, and model-based systems engineering.
The Principal Java Developer will develop, maintain, and enhance complex and diverse software systems (e.g. processing-intensive analytics, novel algorithm development, manipulation of extremely large data sets, real-time systems, and business management information systems) based upon documented requirements. This person will be responsible for working individually or as part of a team to develop and test software components for adherence to the design requirements. The team is seeking a talented engineer who resolves software problem reports, utilizes software development and software design methodologies appropriate to the development and software design methodologies appropriate to the development environment. The successful candidate shall have experience with creating specific input to the software components of system design to include hardware/software tradeoffs, software reuse, use of Commercial Off-the-Shelf (COTS)/ Government Off-the Shelf (GOTS) in place of new development, and requirements analysis and synthesis from system level to individual software components.
Basic Qualifications:
- **A current and active TS/SCI Clearance with recent (less than 5 years ago)Polygraph is required.**
- 7 years of experience as a Software Engineer in programs and contracts of similar scope, type, and complexity with a bachelor's degree in Computer Science or related discipline from an accredited college or university. - 11 years of experience as a SWE in programs and contracts of similar scope, type, and complexity with no degree can also be accepted.
- An ability to develop, maintain, and enhance complex and diverse software systems.
- This position requires past experience in Java Software Development
Preferred Qualifications:
- An ability to analyze user requirements to derive software design and performance requirements
- An ability to design and code new software or modify existing software to add new features
- Experience with debugging existing software and correcting defects
- An ability to integrate existing software into new or modified systems or operating environments
- Experience with developing simple data queries for existing or proposed databases or data repositories
- Assisting with developing and executing test procedures for software components
**Salary Range:** $118,000 - $177,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Annapolis Junction, MDR10128164MarylandMDPrincipal Cyber Software Engineer (SB)None02B362F831824B059D9E1AAB2D60C3D1http://northropgrumman-veterans.jobs/02B362F831824B059D9E1AAB2D60C3D123MelbourneNorthrop GrummanUnited StatesUSA2024-03-27 06:15:34At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems Sector has an opening for a Cyber Systems Engineering Manager 2 to join our team of qualified, diverse individuals. This leadership position is located in Melbourne, FL.**
This position is for first shift; however, if the need arises the successful candidate may be placed on second shift or weekend shift, additional compensation applies to alternate shifts. This is a dual role Section Manager and Cyber Systems Engineering Manager (Program Role).
**Functional Role Responsibilities include:**
+ Help the team understand the Test and Evaluation (T&E) organization's vision and principles, build a culture that empowers and motivates individual excellence, and establish functional homeroom shared accountability for program/project performance.
+ Ensure projects are completed on schedule and within budget.
+ Perform technical planning and cost and risk analyses for total systems.
+ Work closely with the Department Manager and fellow section managers to implement department initiatives, ensuring successful planning and execution of program test engineering activities, collaborating with programs to satisfy staffing needs and provide operations support (incident investigations, metrics collection and analysis, etc.), setting Talent + goals and providing regular performance feedback to employees, supporting the annual salary review and promotion process, mentoring and developing the next generation of technical and project leadership, and improving and enforcing test engineering processes and procedures.
**Program Role Responsibilities include:**
+ Maintain smooth operation of multi-user computing environments, including coordination with infrastructure and security counterparts.
+ Efficient communication and shared understanding among stakeholders regarding relationships between system requirements and the system being developed, through precise engineering artifacts and traceability of designs to requirements.
+ Interact with users and evaluate vendor products.
+ Make recommendations to purchase hardware and software, coordinates installation and provide backup recovery.
+ Develop and monitor policies and standards for allocation related to the use of computing resources.
+ Ancillary duties such as setting up administrator and service accounts, maintaining system documentation, tuning system performance, installing system wide software and allocating mass storage space.
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement and respond vigorously to change.
**Basic Qualifications:**
+ Must have a Bachelor of Science degree in a STEM discipline AND 9 years of related professional/military experience in Engineering OR a Master's degree of Science in a STEM discipline AND 7 years of related professional/military experience in Engineering OR 5 years with Phd
+ Must have experience with building and leading an effective and collaborative team.
+ Must have prior manager/lead experience.
+ Must have a current in scope DoD Secret security clearance with full investigation completed within the last 6 years.
+ Must have ability to obtain and maintain Access (PAR) within a reasonable period, as determined by the company to meet its business needs.
**Preferred Qualifications:**
+ Masters degree in a Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university with 7 years of relevant experience OR 5 years and a PhD
+ Top Secret clearance
+ Current DoD 8570 Level 2 Certification, such as Security+ CE
+ Experience working with DevOps Processes and Cloud Infrastructure
+ Minimum 5 years of systems administration experience, to include Windows, Linux, and VM environments.
+ VMware environment experience and certification
+ Understanding of enterprise-level computing platforms involving virtualization, distribution and high availability
+ Experience implementing storage allocation, reclamation, replication and migration.
**Salary Range:** $131,200 - $196,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Melbourne, FLR10147181FloridaFLCyber Systems Engineering Manager 2None1448F3DB5D0C4EE0B3E39049B719692Ahttp://northropgrumman-veterans.jobs/1448F3DB5D0C4EE0B3E39049B719692A23CincinnatiNorthrop GrummanUnited StatesUSA2024-03-27 06:15:34At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join **Northrop Grumman - Cincinnati (aka Xetron),** part of Mission Systems sector, focused on the development of new capabilities for our military and intelligence customers around the globe. Our **Northrop Grumman Cincinnati, Ohio** small business culture operates collaboratively within a larger corporation providing the benefits of both. This unique relationship offers employees the ability to know everyone at the site while working on technologies and products that are beyond state-of-the-art. You and your team will wrestle with the next big problems in an atmosphere of collaboration and cooperation, sharing your expertise while learning from the expert next to you. Remember when you were excited about getting to work because the challenge of the problem, the importance of the solution and the fun you had with your team? It's that kind of place! But don't take my word for it. See for yourself! See why we receive comments on our YouTube Videos like "This company is insanely advanced" and "Wow. This is mind blowing." See some of our recent Awards and Recognition and read about some of the reasons to live in Cincinnati .
We are seeking **cleared or clearable mid-level Software Engineers** who are self-motivated and energetic with a background in Computer Engineering, Computer Science, or a related technical discipline.
**Responsibilities:**
This position involves developing solutions to national security threats with products that may involve kernel development, reverse engineering or vulnerability research of network and communication systems. The position requires solid software development skills including a familiarity with scripting languages. Knowledge of computer networking, modern software development environments, embedded programming, and software configuration management tools is a plus.
**Essential Functions:**
+ Kernel Mode (Windows, Linux) programming (C/C++, Assembly)
+ Reverse Engineering (x86, x64, MIPS, PPC, or ARM)
+ Vulnerability Analysis
+ Communications Engineer:
+ Network Programming (C/C++)
+ Network protocols TCP/IP, UDP, HTTP, SSL, etc...
+ Infrastructure Engineer
This position can be filled at the Principal Engineer or Sr. Principal Engineer Level.
**Basic Qualifications for Principal Engineer Level** :
+ B.S. degree in Computer Science, Computer Engineering or related field and 5 years of programming experience OR M.S. degree in Computer Science, Computer Engineering or related field and 3 years of experience. NOTE: 4 years of relevant work experience can be substituted for the degree.
+ US Citizenship and ability to obtain and maintain a Top Secret clearance to start.
+ Demonstrated software programming experience in C/C++ Experience with a debugging or system analysis tool (i.e. Wireshark, IDAPro, OllyDebug, WinDBG, JTAG debuggers)
**Basic Qualifications for Senior Principal Software Engineer Level** :
+ B.S. degree in Computer Science, Computer Engineering or related field and 9 years of programming experience OR M.S. degree in Computer Science, Computer Engineering or related field and 7 years of programming experience OR Ph.D and 4 years of relevant work experience. NOTE: 4 years of relevant work experience can be substituted for the degree.
+ US Citizenship and ability to obtain and maintain a Top Secret clearance to start
+ Demonstrated software programming experience in C/C++ Experience with a debugging or system analysis tool (i.e. Wireshark, IDAPro, OllyDebug, WinDBG, JTAG debuggers)
**Preferred Qualifications:**
+ Active Top Secret security clearance
+ Experience as Technical Lead leading teams of 2-8 engineers
+ Advanced degree in Computer Science, Computer Engineering or related field
+ Experience in software development to include any of the following: kernel mode, embedded, command and control, web applications, network applications.
+ Experience with SDLC (software development life cycle)
+ Familiarity with Assembly Languages (x86, x64, MIPS, PPC, or ARM )
+ Experience developing software for multiple operating system environments.
+ Experience with virtual machine technology
+ OS Internals experience
+ Development of lower level networking protocols such as TCP/IP and UDP
+ Understanding of return oriented programming (ROP) concepts and execution
+ Ability to develop and implement shell code.
+ Ability to understand secure / insecure programming concepts (format string vulnerabilities, heap overflows, etc.)
+ Familiarity with common source control tools (git, Mercurial, etc.) and automated build system workflows (Jenkins, Go, etc.)
+ Experience with the Agile methodology
This position offers the option of a 9/80 work schedule. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Salary Range:** $107,300 - $160,900
**Salary Range 2:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Cincinnati, OHR10119835OhioOHCyber Software Engineer (Mid-level 5-10 years)None1A79829467B54C9288749C47D99F10F2http://northropgrumman-veterans.jobs/1A79829467B54C9288749C47D99F10F223Fort CavazosNorthrop GrummanUnited StatesUSA2024-03-27 06:15:34At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Our Mission Training Complex (MTC) is seeking a **Senior Principal Military Collective Trainer** - **Maneuver and C2** to join our team at **Fort Cavazos** in **Texas** . The selected candidate will represent Maneuver and Command and Control (C2) on the MTCs Mission Command Training Team (MCTT). This team is chartered with providing the skills and innovative technologies to develop, design, produce, and sustain optimized training products and events for U.S. Army customer units, providing a decisive advantage to the warfighter.
In support of the MTC, the Senior Principal Military Collective Trainer for Maneuver and C2 is an integral part of the collective training team and exercise design at our site, serving as an expert in the Maneuver and C2 warfighting function in addition to being a subject matter expert for all the tasks required of the collective training team.
**Responsibilities:**
+ Liaises with training unit commands and prepare exercises such as CPX, MCSI, MDMP, CUOPS, STAFFEXs, Working Groups, Targeting Boards, and any other requested collective training events
+ Ensures that all simulations are properly leveraged for the effects required to meet the training unit objectives
+ Attends meetings requiring team lead participation, works with MTC scheduler to schedule unit training, assembles and transmits soldier feedback and usage reports to government as necessary, is responsible for tours and VIP visits, conducts inventory of equipment on a regular basis and processes supply and equipment requests through appropriate channels
+ Assists other team members in the design and execution of small to large exercises requiring simulations support
+ Mentors and provides over-the-shoulder training of division staffs within III Corps with a primary focus on **III Corps and 1st Cavalry Division** as it relates to Maneuver and C2
+ Ensures the team can connect Live, Virtual, and Constructive (LVC) simulations within 1 week of notice
+ Major tasks include Mission Command Staff Collective Training to include the Military Decision Making Process, Mission Command staff processes, TOC functions, and tactical operations using Mission Command Information Systems (MCIS)
+ Develops products and synchronize databases to support training for Mission Command exercises
+ Establishes and provides Battle Staff Integration; oversees the Joint Land Component Constructive Training Capability (JLCCTC) Multi-resolution (MRF)
+ Provides end users with a command post exercise simulation configured to specifications provided by the operations officer
+ Collaborates with other War Fighting Functions
+ Assists units in the development of training requirements and exercise training tasks for TOCs and subordinate staff war fighting functions
+ Serves as Maneuver and C2 Lead for the Higher Control Cell (HICON)
+ Develops the training requirements based on commanders training objectives (CTOs) and MTC activities to simulate or stimulate higher headquarters requirements during exercises
+ Trains Assistant Instructors (AIs), maintains and updates Programs of Instruction (POIs) and coordinates RTOC, work cell and/or classroom setup and connectivity with technical staff
+ Provides input and quality control of distributed products associated with Mission Command Systems
+ Leverages expert knowledge of CPCE and JBC-P systems, processes, tactical current operations, and Mission Command doctrine
+ Performs other duties and projects as assigned by the Site Manager
**Basic Qualifications:**
+ One of the following:
+ Bachelor's degree with a minimum of 10 years of professional and/or military leadership experience
+ Master's degree with a minimum of 8 years of professional and/or military leadership experience
+ Experience in battalion or brigade as a BN Commander or BDE S3/XO staff officer or above
+ Must have a minimum of 5 years' experience in TOC operations and MCIS skills.
+ Able to communicate and share constructive perspective to achieve success of task and missions present and future.
+ Must have an active U.S. Department of Defense (DoD) Secret security clearance (or higher)
+ Must be willing to travel as needed (up to 10% of the time)
+ Must be Military Education Level 4 (MEL 4) / Intermediate Level Education (ILE) qualified
+ Must be able to work on-site at Fort Cavazos in Texas
**Preferred Qualifications:**
+ Command Post Computing Environment (CPCE) Experience
+ Army Instructor certification and experience with other MCIS or Enabling systems, (JCR/JBCP, AFATDS, JADOCS, TAIS, AMDWS,DCGS-A, etc.)
+ Experience with simulations systems or Army Low Overhead Tactical Trainers (ALOTT)
+ Instructor certification
**Salary Range:** $86,300 - $129,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.Fort Cavazos, TXR10140286TexasTXSenior Principal Military Collective Trainer - Maneuver and C2None2BE483A90B4E450692ABEA04B77E86F7http://northropgrumman-veterans.jobs/2BE483A90B4E450692ABEA04B77E86F723